Debian Electronics Project
Summary
Analog design
Debian packages for analog design

This metapackage will install Debian packages for analog simulation and design

Description

For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:

If you discover a project which looks like a good candidate for Debian Electronics to you, or if you have prepared an unofficial Debian package, please do not hesitate to send a description of that project to the Debian Electronics mailing list

Links to other tasks

Debian Electronics Analog design packages

Official Debian packages with high relevance

gnucap
GNU Circuit Analysis package, main executable
Versions of package gnucap
ReleaseVersionArchitectures
bullseye0.36~20171003-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm0.36~20171003-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie0.36~20171003-1.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid0.36~20171003-1.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
experimental20230520-dev-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
stretch-backports0.36~20171003-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster0.36~20171003-1amd64,arm64,armhf,i386
jessie0.36~20091207-2amd64,armel,armhf,i386
stretch0.36~20091207-2amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
Debtags of package gnucap:
fieldelectronics
interfacetext-mode
roleprogram
scopeutility
suitegnu
uitoolkitncurses
usechecking
Popcon: 50 users (52 upd.)*
Versions and Archs
License: DFSG free
Git

Gnucap is a general purpose circuit simulator. It performs nonlinear dc and transient analyses, Fourier analysis, and ac analysis linearized at an operating point. It is fully interactive and command driven. It can also be run in batch mode or as a server.

This package contains a main executable and gnucap-modelgen.

gwave
waveform viewer eg for spice simulators
Versions of package gwave
ReleaseVersionArchitectures
bullseye20190116-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
stretch20090213-6.1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
Debtags of package gwave:
fieldelectronics
interfacetext-mode, x11
roleprogram
scopeapplication
uitoolkitgtk, ncurses
useviewing
x11application
Popcon: 15 users (2 upd.)*
Versions and Archs
License: DFSG free
Git

Gwave is a tool for viewing analog data, such as the output of Spice simulations.

Gwave can read "raw" files from spice2G6, spice3F5 or ngspice, and a tabular ASCII format suitable for use with GnuCAP or homegrown tools. It can also read several binary and ascii files written by commercial spice-type simulators such as hspice, tspice, and nanosim.

It supports multiple "panels" (graticules) with multiple variables displayed in each. Two vertical-bar cursors are available for time-difference measurements. Multiple files can be loaded, for comparing results of several simulations.

magic
VLSI layout tool
Versions of package magic
ReleaseVersionArchitectures
stretch8.0.210-2amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
sid8.3.105+ds.1-1.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
trixie8.3.105+ds.1-1.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
bookworm8.3.105+ds.1-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye8.3.105+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster8.1.223+ds.1-1amd64,arm64,armhf,i386
jessie7.5.241-1amd64,armel,armhf,i386
stretch-backports8.1.218+ds.1-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
upstream8.3.476
Debtags of package magic:
fieldelectronics
roleprogram
Popcon: 19 users (12 upd.)*
Newer upstream!
License: DFSG free
Git

Magic is a venerable VLSI layout tool, written in the 1980's at Berkeley by John Ousterhout, now famous primarily for writing the scripting interpreter language Tcl. Due largely in part to its liberal Berkeley open-source license, magic has remained popular with universities and small companies. The open-source license has allowed VLSI engineers with a bent toward programming to implement clever ideas and help magic stay abreast of fabrication technology. However, it is the well thought-out core algorithms which lend to magic the greatest part of its popularity. Magic is widely cited as being the easiest tool to use for circuit layout, even for people who ultimately rely on commercial tools for their product design flow.

Screenshots of package magic
ngspice
Spice circuit simulator
Versions of package ngspice
ReleaseVersionArchitectures
bookworm39.3+ds-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster30.2-1amd64,arm64,armhf,i386
stretch-backports-sloppy32.2+ds-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster-backports33+ds-1~bpo10+1s390x
buster-backports34+ds-1~bpo10+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el
bullseye34+ds-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster-backports-sloppy37+ds-1~bpo10+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el
bullseye-backports39.3+ds-1~bpo11+1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm-backports42+ds-2~bpo12+1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid42+ds-3amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
jessie26-1.1~deb8u1 (non-free)amd64,i386
stretch26-1.1 (non-free)amd64,i386
stretch-backports30.2-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
Debtags of package ngspice:
fieldelectronics
roleprogram
scopeapplication
uitoolkitncurses
usesimulating
Popcon: 88 users (48 upd.)*
Versions and Archs
License: DFSG free
Git

NGSpice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. It supports compiled Verilog-A models through the built-in OSDI interface.

NGSpice is part of gEDA project, a full GPL'd suite of Electronic Design Automation tools.

simulide
simple real time electronic circuit simulator
Maintainer: Milan Kupcevic
Versions of package simulide
ReleaseVersionArchitectures
sid1.1.0.1912+dfsg-4amd64,i386
buster0.1.7+dfsg-2amd64,arm64,armhf,i386
trixie1.1.0.1912+dfsg-4amd64,i386
bookworm0.1.7+dfsg-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye0.1.7+dfsg-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
upstream1.1.0.1938
Popcon: 48 users (32 upd.)*
Newer upstream!
License: DFSG free
Git

Simulide is a real time electronic circuit simulator intended for hobbist and student experimentation with simple general purpose electronic circuits and PIC, AVR and Arduino microcontroller simulations.

Screenshots of package simulide

Official Debian packages with lower relevance

python3-gnucap
Python 3 bindings for the GNU Circuit Analysis Package
Versions of package python3-gnucap
ReleaseVersionArchitectures
bullseye0.0.2-1.2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid0.0.2-1.2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
buster0.0.2-1.1amd64,arm64,armhf,i386
bookworm0.0.2-1.2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
Popcon: 1 users (2 upd.)*
Versions and Archs
License: DFSG free
Git

This package contains Python bindings for the GNU Circuit Analysis Package.

Gnucap is a general purpose circuit simulator. It performs nonlinear dc and transient analyses, Fourier analysis, and ac analysis linearized at an operating point. It is fully interactive and command driven. It can also be run in batch mode or as a server.

*Popularitycontest results: number of people who use this package regularly (number of people who upgraded this package recently) out of 237252