Summary
ASIC development
Debian ASIC development packages
This metapackage will install Debian packages for ASIC
development
Description
For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:
If you discover a project which looks like a good candidate for Debian Electronics
to you, or if you have prepared an unofficial Debian package, please do not hesitate to
send a description of that project to the Debian Electronics mailing list
Links to other tasks
|
Debian Electronics ASIC development packages
Official Debian packages with high relevance
electric
|
Versions of package electric |
Release | Version | Architectures |
sid | 9.07+dfsg-7 | all |
bullseye | 9.07+dfsg-6 | all |
bookworm | 9.07+dfsg-7 | all |
trixie | 9.07+dfsg-7 | all |
jessie | 9.05+dfsg-1 | all |
stretch | 9.07+dfsg-1 | all |
buster | 9.07+dfsg-5 | all |
Debtags of package electric: |
field | electronics |
interface | x11 |
role | program |
scope | application |
suite | gnu |
uitoolkit | motif |
use | editing, learning |
x11 | application |
|
License: DFSG free
|
Electric is a sophisticated electrical CAD system that can handle many forms
of circuit design, including custom IC layout (ASICs), schematic drawing,
hardware description language specifications, and electro-mechanical hybrid
layout.
|
|
gtkwave
VCD (Value Change Dump) file waveform viewer
|
Versions of package gtkwave |
Release | Version | Architectures |
bookworm | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm-security | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 3.3.62-1 | amd64,armel,armhf,i386 |
stretch | 3.3.79-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 3.3.98-1 | amd64,arm64,armhf,i386 |
buster-security | 3.3.98+really3.3.118-0+deb10u1 | amd64,arm64,armhf,i386 |
bullseye | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye-security | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armhf,i386 |
Debtags of package gtkwave: |
field | electronics |
hardware | emulation |
interface | x11 |
role | program |
scope | utility |
uitoolkit | gtk |
use | learning, viewing |
x11 | application |
|
License: DFSG free
|
gtkwave is a viewer for VCD (Value Change Dump) files which
are usually created by digital circuit simulators. (These files
have no connection to video CDs!)
|
|
gwave
waveform viewer eg for spice simulators
|
Versions of package gwave |
Release | Version | Architectures |
bullseye | 20190116-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
stretch | 20090213-6.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package gwave: |
field | electronics |
interface | text-mode, x11 |
role | program |
scope | application |
uitoolkit | gtk, ncurses |
use | viewing |
x11 | application |
|
License: DFSG free
|
Gwave is a tool for viewing analog data, such as the output of Spice
simulations.
Gwave can read "raw" files from spice2G6, spice3F5 or ngspice, and a tabular
ASCII format suitable for use with GnuCAP or homegrown tools. It can also read
several binary and ascii files written by commercial spice-type simulators
such as hspice, tspice, and nanosim.
It supports multiple "panels" (graticules) with multiple variables displayed
in each. Two vertical-bar cursors are available for time-difference
measurements. Multiple files can be loaded, for comparing results of several
simulations.
|
|
iverilog
|
Versions of package iverilog |
Release | Version | Architectures |
bookworm | 11.0-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
buster | 10.2-1.1 | amd64,arm64,armhf,i386 |
stretch | 10.1-0.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 0.9.7-1 | amd64,armel,armhf,i386 |
sid | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bullseye | 11.0-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
Debtags of package iverilog: |
field | electronics |
interface | commandline |
role | program |
|
License: DFSG free
|
Icarus Verilog is intended to compile all of the Verilog HDL as
described in the IEEE-1364 standard. It is not quite there
yet. It does currently handle a mix of structural and behavioral
constructs.
The compiler can target either simulation, or netlist (EDIF).
|
|
klayout
High Performance Layout Viewer and Editor
|
Versions of package klayout |
Release | Version | Architectures |
sid | 0.29.1-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bullseye | 0.26.2-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 0.28.5-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 0.29.1-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
upstream | 0.29.10 |
|
License: DFSG free
|
This is very good viewer for GDSII and other layout files used in the
semiconductor industry.
It is similar to 'magic', but has a much more modern GUI and is more robust
handling all kinds of GDSII files created by various other tools. Its focus is
more on viewing than on editing, but it also has limited, but expanding,
support for DRC and extraction for LVS.
|
|
magic
|
Versions of package magic |
Release | Version | Architectures |
stretch-backports | 8.1.218+ds.1-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch | 8.0.210-2 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 7.5.241-1 | amd64,armel,armhf,i386 |
buster | 8.1.223+ds.1-1 | amd64,arm64,armhf,i386 |
bullseye | 8.3.105+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 8.3.105+ds.1-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 8.3.105+ds.1-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
upstream | 8.3.510 |
Debtags of package magic: |
field | electronics |
role | program |
|
License: DFSG free
|
Magic is a venerable VLSI layout tool, written in the 1980's at Berkeley by
John Ousterhout, now famous primarily for writing the scripting interpreter
language Tcl. Due largely in part to its liberal Berkeley open-source license,
magic has remained popular with universities and small companies. The
open-source license has allowed VLSI engineers with a bent toward programming
to implement clever ideas and help magic stay abreast of fabrication
technology. However, it is the well thought-out core algorithms which lend to
magic the greatest part of its popularity. Magic is widely cited as being the
easiest tool to use for circuit layout, even for people who ultimately rely on
commercial tools for their product design flow.
|
|
netgen-lvs
Netlist comparison - Layout vs Schematic (LVS)
|
Versions of package netgen-lvs |
Release | Version | Architectures |
sid | 1.5.133-1.2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
buster | 1.5.118-1 | amd64,arm64,armhf,i386 |
bullseye | 1.5.133-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 1.5.133-1.2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 1.5.133-1.2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
upstream | 1.5.291 |
|
License: DFSG free
|
Netgen is a tool for comparing netlists, a process known as LVS, which stands
for "Layout vs. Schematic". This is an important step in the integrated circuit
design flow, ensuring that the geometry that has been laid out matches the
expected circuit.
Very small circuits can bypass this step by confirming circuit operation
through extraction and simulation. Very large digital circuits are usually
generated by tools from high-level descriptions, using compilers that ensure
the correct layout geometry. The greatest need for LVS is in large analog or
mixed-signal circuits that cannot be simulated in reasonable time.
Note that the executable name in Debian is 'netgen-lvs'. For details, see
/usr/share/doc/netgen-lvs/README.Debian
|
|
opensta
Gate-level Static Timing Analyzer
|
Versions of package opensta |
Release | Version | Architectures |
trixie | 0~20191111gitc018cb2+dfsg-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0~20191111gitc018cb2+dfsg-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bullseye | 0~20191111gitc018cb2+dfsg-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 0~20191111gitc018cb2+dfsg-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
upstream | 0.0~git20250102.dfbce66 |
|
License: DFSG free
|
After synthesis, place and route of a digital circuit, it is necessary to
verify the timing of the design. OpenSTA is a tool for doing exactly that. It
has a Tcl interface for entering commands for analysing designs.
It typically takes as input a verilog netlist, a liberty file, and other
parasitics information from the placed and routed design.
|
|
qrouter
Multi-level, over-the-cell maze router
|
Versions of package qrouter |
Release | Version | Architectures |
buster | 1.3.108-1 | amd64,arm64,armhf,i386 |
sid | 1.4.71-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 1.4.71-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 1.4.71-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 1.3.106-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch | 1.3.57-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 1.3.3-1 | amd64,armel,armhf,i386 |
upstream | 1.4.88 |
|
License: DFSG free
|
Qrouter is a tool to generate metal layers and vias to physically connect
together a netlist in a VLSI fabrication technology. It is a maze router,
otherwise known as an "over-the-cell" router or "sea-of-gates" router. That
is, unlike a channel router, it begins with a description of placed standard
cells, usually packed together at minimum spacing, and places metal routes
over the standard cells.
Qrouter uses the open standard LEF and DEF formats as file input and output.
It takes the cell definitions from a LEF file, and analyzes the geometry for
each cell to determine contact points and route obstructions. It then reads
the cell placement, pin placement, and netlist from a DEF file, performs the
detailed route, and writes an annotated DEF file as output.
|
|
verilator
fast free Verilog simulator
|
Versions of package verilator |
Release | Version | Architectures |
jessie | 3.864-1 | amd64,armel,armhf,i386 |
bullseye | 4.038-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 4.010-1 | amd64,arm64,armhf,i386 |
bookworm | 5.006-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 5.032-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 5.030-4 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
stretch | 3.900-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package verilator: |
field | electronics |
interface | commandline |
role | program |
use | simulating |
|
License: DFSG free
|
Verilator is the fastest free Verilog HDL simulator, and beats many commercial
simulators. It compiles synthesizable Verilog (not test-bench code!), plus
some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code.
It is designed for large projects where fast simulation performance is of
primary concern, and is especially well suited to generate executable models
of CPUs for embedded software design teams.
|
|
yosys
Framework for Verilog RTL synthesis
|
Versions of package yosys |
Release | Version | Architectures |
bullseye | 0.9-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster-backports | 0.9-1~bpo10+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 0.8-1 | amd64,arm64,armhf,i386 |
stretch-backports | 0.8-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch | 0.7-2+deb9u1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
sid | 0.33-6 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64 |
experimental | 0.33-6~exp3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64 |
bookworm | 0.23-6 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el |
upstream | 0.44 |
|
License: DFSG free
|
This is a framework for Verilog RTL synthesis. It currently has extensive
Verilog-2005 support and provides a basic set of synthesis algorithms for
various application domains.
Yosys can be adapted to perform any synthesis job by combining the existing
passes (algorithms) using synthesis scripts and adding additional passes as
needed by extending the yosys C++ code base.
|
|
Official Debian packages with lower relevance
alliance
|
Versions of package alliance |
Release | Version | Architectures |
jessie | 5.0-20120515-6 | amd64,armel,armhf,i386 |
stretch | 5.1.1-1.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 5.1.1-3 | amd64,arm64,armhf,i386 |
Debtags of package alliance: |
field | electronics |
role | program |
|
License: DFSG free
|
Alliance is a complete set of free CAD tools and portable libraries for
VLSI design. It includes a VHDL compiler and simulator, logic synthesis
tools, and automatic place and route tools.
A complete set of portable CMOS libraries is provided, including a
RAM generator, a ROM generator and a data-path compiler.
Alliance is the result of more than ten years effort spent at ASIM department
of LIP6 laboratory of the Pierre et Marie Curie University (Paris VI, France).
Alliance has been used for research projects such as the 875 000 transistors
StaCS superscalar microprocessor and 400 000 transistors IEEE Gigabit HSL
Router.
Alliance provides CAD tools covering most of all the digital design flow:
- VHDL Compilation and Simulation
- Model checking and formal proof
- RTL and Logic synthesis
- Data-Path compilation
- Macro-cells generation
- Place and route
- Layout edition
- Netlist extraction and verification
- Design rules checking
|
|
gdsiiconvert
Convert GDSII geometries and report geometry statistics
|
Versions of package gdsiiconvert |
Release | Version | Architectures |
sid | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
buster | 0.1+ds.1-1 | amd64,arm64,armhf,i386 |
bookworm | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
|
License: DFSG free
|
The command line tool can be used for reporting statistics on GDSII geometries
and export them to other file formats, notably including the GMSH geometry
format.
It is the "example application" for libGDSII which is a C++ library for
working with GDSII binary data files.
This package also contains example GDSII files.
|
|
libgdsii-dev
Library for GDSII handling (development files)
|
Versions of package libgdsii-dev |
Release | Version | Architectures |
trixie | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
buster | 0.1+ds.1-1 | amd64,arm64,armhf,i386 |
bullseye | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
|
License: DFSG free
|
This is a C++ library for working with GDSII binary data files, intended
primarily for use with the computational electromagnetism codes scuff-em and
meep but sufficiently general-purpose to allow other uses as well.
This package contains the development files for the library.
|
|
python3-gdspy
Python library for GDSII handling (Python 3)
|
Versions of package python3-gdspy |
Release | Version | Architectures |
bullseye | 1.4.2-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 1.4.2-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 1.3.1-3 | amd64,arm64,armhf,i386 |
sid | 1.4.2-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 1.4.2-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
upstream | 1.6.13 |
|
License: DFSG free
|
Gdspy is a Python module for creating/importing/merging GDSII stream files. It
includes key libraries for creating complex CAD layouts.
Features:
- Boolean operations on polygons (AND, OR, NOT, XOR) based on clipping
algorithm
- Polygon offset (inward and outward rescaling of polygons)
- Efficient point-in-polygon solutions for large array sets
This package installs the library for Python 3.
|
|
Packaging has started and developers might try the packaging code in VCS
coriolis
Open-Source Digital Synthesis Flow
|
Versions of package coriolis |
Release | Version | Architectures |
VCS | 2.0.1+20190311git435b647-1 | all |
|
License: GPL-3+
Debian package not available
Version: 2.0.1+20190311git435b647-1
|
Coriolis is a set of tools for VLSI backend flow.
It's main features are:
- An analytic placer Etesian (based on Coloquinte).
- A router Katana for digital designs. An extension toward mixed design is
currently under development.
- Python fast prototyping capabilities and layout procedural description.
|
|