Summary
Digital design
Debian packages for digital design
This metapackage will install Debian packages for
digital simulation and design
Description
For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:
If you discover a project which looks like a good candidate for Debian Electronics
to you, or if you have prepared an unofficial Debian package, please do not hesitate to
send a description of that project to the Debian Electronics mailing list
Links to other tasks
|
Debian Electronics Digital design packages
Official Debian packages with high relevance
|
arachne-pnr
Place and route tool for iCE40 family FPGAs
|
| Versions of package arachne-pnr |
| Release | Version | Architectures |
| bookworm | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
| trixie | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,ppc64el,riscv64,s390x |
| bullseye | 0.1+20190728gitc40fb22-2 | amd64,arm64,armhf,i386 |
| forky | 0.1+20190728gitc40fb22-3 | amd64,arm64,armhf,i386,ppc64el,riscv64,s390x |
| sid | 0.1+20190728gitc40fb22-3 | amd64,arm64,armhf,i386,loong64,ppc64el,riscv64,s390x |
|
License: DFSG free
|
|
Arachne-pnr implements the place and route step of the hardware compilation
process for FPGAs. It accepts as input a technology-mapped netlist in BLIF
format, as output by the Yosys synthesis suite for example. It currently
targets the Lattice Semiconductor iCE40 family of FPGAs. Its output is a
textual bitstream representation for assembly by the IceStorm icepack command.
The output of icepack is a binary bitstream which can be uploaded to a hardware
device.
Together, Yosys, arachne-pnr and IceStorm provide an fully open-source
Verilog-to-bistream tool chain for iCE40 1K and 8K FPGA development.
The authors of arachne-pnr have now prepared its successor 'nextpnr'.
|
|
|
covered
Verilog code coverage analysis tool
|
| Versions of package covered |
| Release | Version | Architectures |
| trixie | 0.7.10-5 | amd64,arm64,armel,armhf,i386,ppc64el,riscv64,s390x |
| sid | 0.7.10-7 | amd64,arm64,armhf,i386,loong64,ppc64el,riscv64,s390x |
| bullseye | 0.7.10-3.1 | amd64,arm64,armhf,i386 |
| forky | 0.7.10-7 | amd64,arm64,armhf,i386,ppc64el,riscv64,s390x |
| Debtags of package covered: |
| field | electronics |
| interface | commandline, x11 |
| role | program |
| uitoolkit | tk |
| use | viewing |
| x11 | application |
|
License: DFSG free
|
|
Covered is a Verilog code coverage utility that reads in a Verilog design and
a generated VCD/LXT dumpfile from that design and generates a coverage file
that can be merged with other coverage files or used to create a coverage
report. Covered also contains the GUI coverage report utility that reads in a
coverage file to allow interactive coverage discovery. Areas of coverage
measured by Covered are: line, toggle, memory, combinational logic, FSM
state/state-transition and assertion coverage.
|
|
|
drawtiming
tool for documenting hardware designs through timing diagrams
|
| Versions of package drawtiming |
| Release | Version | Architectures |
| forky | 0.7.1-11 | amd64,arm64,armhf,i386,ppc64el,riscv64,s390x |
| sid | 0.7.1-11 | amd64,arm64,armhf,i386,ppc64el,riscv64,s390x |
| bullseye | 0.7.1-7 | amd64,arm64,armhf,i386 |
| trixie | 0.7.1-11 | amd64,arm64,armel,armhf,i386,ppc64el,riscv64,s390x |
| Debtags of package drawtiming: |
| field | electronics |
| interface | commandline |
| role | program |
| scope | utility |
| use | editing |
| works-with | image |
|
License: DFSG free
|
|
Drawtiming is a command-line tool for documenting hardware designs through
timing diagrams. In inputs textual signal descriptions and outputs image
timing diagrams in many possible formats.
|
|
|
ghdl
|
| Versions of package ghdl |
| Release | Version | Architectures |
| bookworm | 2.0.0+dfsg-6.2 | amd64,arm64,armel,i386,mips64el,mipsel,ppc64el |
| sid | 5.0.1+dfsg-1 | amd64,arm64,ppc64el |
| trixie | 5.0.1+dfsg-1 | amd64,arm64,armel,ppc64el |
| bookworm-proposed-updates | 2.0.0+dfsg-6.2 | amd64,armel,i386,mips64el,mipsel,ppc64el |
| bullseye | 1.0.0+dfsg-3 | amd64,arm64,armhf,i386 |
| upstream | 5.1.1 |
| Debtags of package ghdl: |
| devel | compiler |
| field | electronics |
| hardware | emulation |
| interface | commandline |
| role | program |
| scope | utility |
| works-with | software:source |
|
License: DFSG free
|
|
GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
GHDL is not an interpreter: it allows you to analyse and elaborate sources to
generate machine code from your design. Native program execution is the only
way for high speed simulation.
GHDL offers three machine code generation backends: one based on GCC, one
using the LLVM compiler suite and a GHDL specific one called mcode. These are
available in the ghdl-gcc, ghdl-llvm and ghdl-mcode packages respectively.
Both the GCC and LLVM backends create highly optimized code for excellent
simulation performance while simulations compiled with the GCC backend also
allow coverage testing using gcov. The mcode backend creates less performant
code but makes up for it with much faster compilation. It is therefore
preferable for smaller projects without large or long running simulations.
Multiple backends can be installed at the same time and selected by either
invoking the desired GHDL directly (as ghdl-gcc, ghdl-llvm or ghdl-mcode) or
by providing a GHDL_BACKEND environment variable (containing gcc, llvm or
mcode) while invoking ghdl.
This package is a dependency package that will make sure at least one backend
is installed.
|
|
|
gtkwave
VCD (Value Change Dump) file waveform viewer
|
| Versions of package gtkwave |
| Release | Version | Architectures |
| bookworm | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
| bullseye | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armhf,i386 |
| bullseye-security | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armhf,i386 |
| bookworm-security | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
| trixie | 3.3.121-1 | amd64,arm64,armel,armhf,i386,ppc64el,riscv64,s390x |
| forky | 3.3.126-1 | amd64,arm64,armhf,i386,ppc64el,riscv64,s390x |
| sid | 3.3.126-1 | amd64,arm64,armhf,i386,loong64,ppc64el,riscv64,s390x |
| Debtags of package gtkwave: |
| field | electronics |
| hardware | emulation |
| interface | x11 |
| role | program |
| scope | utility |
| uitoolkit | gtk |
| use | learning, viewing |
| x11 | application |
|
License: DFSG free
|
|
gtkwave is a viewer for VCD (Value Change Dump) files which
are usually created by digital circuit simulators. (These files
have no connection to video CDs!)
|
|
|
irsim
|
| Versions of package irsim |
| Release | Version | Architectures |
| sid | 9.7.104-1.2 | amd64,arm64,armhf,i386,loong64,ppc64el,riscv64,s390x |
| forky | 9.7.104-1.2 | amd64,arm64,armhf,i386,ppc64el,riscv64,s390x |
| bullseye | 9.7.104-1 | amd64,arm64,armhf,i386 |
| trixie | 9.7.104-1.1 | amd64,arm64,armel,armhf,i386,ppc64el,riscv64,s390x |
| upstream | 9.7.121 |
| Debtags of package irsim: |
| field | electronics |
| role | program |
| use | simulating |
|
License: DFSG free
|
|
IRSIM is a tool for simulating digital circuits. It is a "switch-level"
simulator; that is, it treats transistors as ideal switches. Extracted
capacitance and lumped resistance values are used to make the switch a little
bit more realistic than the ideal, using the RC time constants to predict the
relative timing of events.
|
|
|
iverilog
|
| Versions of package iverilog |
| Release | Version | Architectures |
| trixie | 12.0-2 | amd64,arm64,armel,armhf,i386,ppc64el,riscv64,s390x |
| bookworm | 11.0-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
| forky | 12.0-3 | amd64,arm64,armhf,i386,ppc64el,riscv64,s390x |
| sid | 12.0-3 | amd64,arm64,armhf,i386,loong64,ppc64el,riscv64,s390x |
| bullseye | 11.0-1 | amd64,arm64,armhf,i386 |
| Debtags of package iverilog: |
| field | electronics |
| interface | commandline |
| role | program |
|
License: DFSG free
|
|
Icarus Verilog is intended to compile all of the Verilog HDL as
described in the IEEE-1364 standard. It is not quite there
yet. It does currently handle a mix of structural and behavioral
constructs.
The compiler can target either simulation, or netlist (EDIF).
|
|
|
qrouter
Multi-level, over-the-cell maze router
|
| Versions of package qrouter |
| Release | Version | Architectures |
| bookworm | 1.4.71-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
| forky | 1.4.88-2 | amd64,arm64,armhf,i386,ppc64el,riscv64,s390x |
| sid | 1.4.88-2 | amd64,arm64,armhf,i386,loong64,ppc64el,riscv64,s390x |
| bullseye | 1.4.71-2 | amd64,arm64,armhf,i386 |
| upstream | 1.4.90 |
|
License: DFSG free
|
|
Qrouter is a tool to generate metal layers and vias to physically connect
together a netlist in a VLSI fabrication technology. It is a maze router,
otherwise known as an "over-the-cell" router or "sea-of-gates" router. That
is, unlike a channel router, it begins with a description of placed standard
cells, usually packed together at minimum spacing, and places metal routes
over the standard cells.
Qrouter uses the open standard LEF and DEF formats as file input and output.
It takes the cell definitions from a LEF file, and analyzes the geometry for
each cell to determine contact points and route obstructions. It then reads
the cell placement, pin placement, and netlist from a DEF file, performs the
detailed route, and writes an annotated DEF file as output.
|
|
|
simulide
simple real time electronic circuit simulator
|
| Versions of package simulide |
| Release | Version | Architectures |
| bullseye | 0.1.7+dfsg-2 | amd64,arm64,armhf,i386 |
| bookworm | 0.1.7+dfsg-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
| sid | 1.1.0.1912+dfsg-4 | amd64,i386 |
| forky | 1.1.0.1912+dfsg-4 | amd64,i386 |
| trixie | 1.1.0.1912+dfsg-4 | amd64,i386 |
|
License: DFSG free
|
|
Simulide is a real time electronic circuit simulator intended for hobbist and
student experimentation with simple general purpose electronic circuits and
PIC, AVR and Arduino microcontroller simulations.
|
|
|
verilator
fast free Verilog simulator
|
| Versions of package verilator |
| Release | Version | Architectures |
| bullseye | 4.038-1 | amd64,arm64,armhf,i386 |
| bookworm | 5.006-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
| trixie | 5.032-1 | amd64,arm64,armel,armhf,i386,ppc64el,riscv64,s390x |
| forky | 5.042-1 | amd64,arm64,ppc64el,riscv64,s390x |
| sid | 5.042-1 | amd64,arm64,loong64,ppc64el,riscv64,s390x |
| upstream | 5.044 |
| Debtags of package verilator: |
| field | electronics |
| interface | commandline |
| role | program |
| use | simulating |
|
License: DFSG free
|
|
Verilator is the fastest free Verilog HDL simulator, and beats many commercial
simulators. It compiles synthesizable Verilog (not test-bench code!), plus
some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code.
It is designed for large projects where fast simulation performance is of
primary concern, and is especially well suited to generate executable models
of CPUs for embedded software design teams.
|
|
|
yosys
Framework for Verilog RTL synthesis
|
| Versions of package yosys |
| Release | Version | Architectures |
| forky | 0.52-2 | amd64,arm64,armhf,i386,ppc64el,riscv64 |
| sid | 0.52-2 | amd64,arm64,armhf,i386,loong64,ppc64el,riscv64 |
| trixie | 0.52-2 | amd64,arm64,armel,armhf,i386,ppc64el,riscv64 |
| bookworm | 0.23-6 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el |
| bullseye | 0.9-1 | amd64,arm64,armhf,i386 |
| upstream | 0.60 |
|
License: DFSG free
|
|
This is a framework for Verilog RTL synthesis. It currently has extensive
Verilog-2005 support and provides a basic set of synthesis algorithms for
various application domains.
Yosys can be adapted to perform any synthesis job by combining the existing
passes (algorithms) using synthesis scripts and adding additional passes as
needed by extending the yosys C++ code base.
|
|
Packaging has started and developers might try the packaging code in VCS
|
python3-myhdl
Hardware description language for Python (Python 3)
|
| Versions of package python3-myhdl |
| Release | Version | Architectures |
| VCS | 0.11-2 | all |
|
License: LGPL-2.1+
Debian package not available
Version: 0.11-2
|
|
MyHDL turns Python into a hardware description and verification language,
providing hardware engineers with the power of the Python ecosystem.
Python can then be used as an event-driven simulator using Python decorators
actively to specify what corresponds to 'processes' in Verilog / VHDL and
thereby achieve concurrency.
This package installs the library for Python 3.
|
|