Debian Electronics Project
Summary
Simulation
Debian packages for simulation of electronic circuits

This metapackage will install Debian packages for simulation of electronics circuits

Description

For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:

If you discover a project which looks like a good candidate for Debian Electronics to you, or if you have prepared an unofficial Debian package, please do not hesitate to send a description of that project to the Debian Electronics mailing list

Links to other tasks

Debian Electronics Simulation packages

Official Debian packages with high relevance

ghdl
VHDL compiler/simulator
Versions of package ghdl
ReleaseVersionArchitectures
bullseye1.0.0+dfsg-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid3.0.0+dfsg2-1amd64,i386,mips64el,ppc64el
sid3.0.0+dfsg-1armel
buster0.35+git20181129+dfsg-3amd64,arm64,armhf,i386
sid2.0.0+dfsg-6.2arm64
bookworm2.0.0+dfsg-6.2amd64,arm64,armel,i386,mips64el,mipsel,ppc64el
upstream4.1.0
Debtags of package ghdl:
develcompiler
fieldelectronics
hardwareemulation
interfacecommandline
roleprogram
scopeutility
works-withsoftware:source
Popcon: 1 users (0 upd.)*
Newer upstream!
License: DFSG free
Git

GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation.

GHDL offers three machine code generation backends: one based on GCC, one using the LLVM compiler suite and a GHDL specific one called mcode. These are available in the ghdl-gcc, ghdl-llvm and ghdl-mcode packages respectively. Both the GCC and LLVM backends create highly optimized code for excellent simulation performance while simulations compiled with the GCC backend also allow coverage testing using gcov. The mcode backend creates less performant code but makes up for it with much faster compilation. It is therefore preferable for smaller projects without large or long running simulations.

Multiple backends can be installed at the same time and selected by either invoking the desired GHDL directly (as ghdl-gcc, ghdl-llvm or ghdl-mcode) or by providing a GHDL_BACKEND environment variable (containing gcc, llvm or mcode) while invoking ghdl.

This package is a dependency package that will make sure at least one backend is installed.

gnucap
GNU Circuit Analysis package, main executable
Versions of package gnucap
ReleaseVersionArchitectures
experimental20230520-dev-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
buster0.36~20171003-1amd64,arm64,armhf,i386
bullseye0.36~20171003-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm0.36~20171003-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie0.36~20171003-1.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid0.36~20171003-1.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
jessie0.36~20091207-2amd64,armel,armhf,i386
stretch0.36~20091207-2amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
stretch-backports0.36~20171003-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
Debtags of package gnucap:
fieldelectronics
interfacetext-mode
roleprogram
scopeutility
suitegnu
uitoolkitncurses
usechecking
Popcon: 50 users (52 upd.)*
Versions and Archs
License: DFSG free
Git

Gnucap is a general purpose circuit simulator. It performs nonlinear dc and transient analyses, Fourier analysis, and ac analysis linearized at an operating point. It is fully interactive and command driven. It can also be run in batch mode or as a server.

This package contains a main executable and gnucap-modelgen.

gtkwave
VCD (Value Change Dump) file waveform viewer
Versions of package gtkwave
ReleaseVersionArchitectures
trixie3.3.118-0.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
jessie3.3.62-1amd64,armel,armhf,i386
stretch3.3.79-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster3.3.98-1amd64,arm64,armhf,i386
buster-security3.3.98+really3.3.118-0+deb10u1amd64,arm64,armhf,i386
bullseye3.3.104-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye-security3.3.104+really3.3.118-0+deb11u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye-proposed-updates3.3.104+really3.3.118-0+deb11u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm3.3.114-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm-security3.3.118-0.1~deb12u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm-proposed-updates3.3.118-0.1~deb12u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid3.3.118-0.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
upstream3.3.119
Debtags of package gtkwave:
fieldelectronics
hardwareemulation
interfacex11
roleprogram
scopeutility
uitoolkitgtk
uselearning, viewing
x11application
Popcon: 98 users (351 upd.)*
Newer upstream!
License: DFSG free
Git

gtkwave is a viewer for VCD (Value Change Dump) files which are usually created by digital circuit simulators. (These files have no connection to video CDs!)

Screenshots of package gtkwave
gwave
waveform viewer eg for spice simulators
Versions of package gwave
ReleaseVersionArchitectures
bullseye20190116-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
stretch20090213-6.1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
Debtags of package gwave:
fieldelectronics
interfacetext-mode, x11
roleprogram
scopeapplication
uitoolkitgtk, ncurses
useviewing
x11application
Popcon: 15 users (2 upd.)*
Versions and Archs
License: DFSG free
Git

Gwave is a tool for viewing analog data, such as the output of Spice simulations.

Gwave can read "raw" files from spice2G6, spice3F5 or ngspice, and a tabular ASCII format suitable for use with GnuCAP or homegrown tools. It can also read several binary and ascii files written by commercial spice-type simulators such as hspice, tspice, and nanosim.

It supports multiple "panels" (graticules) with multiple variables displayed in each. Two vertical-bar cursors are available for time-difference measurements. Multiple files can be loaded, for comparing results of several simulations.

irsim
Switch-level simulator
Versions of package irsim
ReleaseVersionArchitectures
sid9.7.104-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
trixie9.7.104-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
bullseye9.7.104-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
stretch9.7.93-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster9.7.101-1amd64,arm64,armhf,i386
jessie9.7.87-1amd64,armel,armhf,i386
upstream9.7.118
Debtags of package irsim:
fieldelectronics
roleprogram
usesimulating
Popcon: 7 users (0 upd.)*
Newer upstream!
License: DFSG free
Git

IRSIM is a tool for simulating digital circuits. It is a "switch-level" simulator; that is, it treats transistors as ideal switches. Extracted capacitance and lumped resistance values are used to make the switch a little bit more realistic than the ideal, using the RC time constants to predict the relative timing of events.

iverilog
Icarus verilog compiler
Versions of package iverilog
ReleaseVersionArchitectures
bullseye11.0-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie12.0-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid12.0-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
jessie0.9.7-1amd64,armel,armhf,i386
stretch10.1-0.1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster10.2-1.1amd64,arm64,armhf,i386
bookworm11.0-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
Debtags of package iverilog:
fieldelectronics
interfacecommandline
roleprogram
Popcon: 64 users (28 upd.)*
Versions and Archs
License: DFSG free
Git

Icarus Verilog is intended to compile all of the Verilog HDL as described in the IEEE-1364 standard. It is not quite there yet. It does currently handle a mix of structural and behavioral constructs.

The compiler can target either simulation, or netlist (EDIF).

ngspice
Spice circuit simulator
Versions of package ngspice
ReleaseVersionArchitectures
bullseye-backports39.3+ds-1~bpo11+1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm-backports42+ds-2~bpo12+1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid42+ds-3amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
buster-backports34+ds-1~bpo10+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el
buster-backports33+ds-1~bpo10+1s390x
stretch-backports-sloppy32.2+ds-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster30.2-1amd64,arm64,armhf,i386
stretch-backports30.2-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
stretch26-1.1 (non-free)amd64,i386
jessie26-1.1~deb8u1 (non-free)amd64,i386
bullseye34+ds-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster-backports-sloppy37+ds-1~bpo10+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el
bookworm39.3+ds-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
Debtags of package ngspice:
fieldelectronics
roleprogram
scopeapplication
uitoolkitncurses
usesimulating
Popcon: 88 users (48 upd.)*
Versions and Archs
License: DFSG free
Git

NGSpice is a mixed-level/mixed-signal circuit simulator. Its code is based on three open source software packages: Spice3f5, Cider1b1 and Xspice. It supports compiled Verilog-A models through the built-in OSDI interface.

NGSpice is part of gEDA project, a full GPL'd suite of Electronic Design Automation tools.

oregano
tool for schematical capture of electronic circuits
Versions of package oregano
ReleaseVersionArchitectures
buster0.84.41+dfsg.1-1amd64,arm64,armhf,i386
sid0.84.41+dfsg.1-1.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bookworm0.84.41+dfsg.1-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
jessie0.70-2amd64,armel,armhf,i386
stretch0.70-3amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
bullseye0.84.41+dfsg.1-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
Debtags of package oregano:
fieldelectronics
roleprogram
suitegnome
uitoolkitgtk
Popcon: 39 users (22 upd.)*
Versions and Archs
License: DFSG free
Git

Oregano is a GNOME application for schematic capture and printing of electronic circuits. It can simulate the circuits using Gnucap, ng-spice or Berkeley spice.

Screenshots of package oregano
simulide
simple real time electronic circuit simulator
Maintainer: Milan Kupcevic
Versions of package simulide
ReleaseVersionArchitectures
trixie1.1.0.1912+dfsg-4amd64,i386
bookworm0.1.7+dfsg-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye0.1.7+dfsg-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster0.1.7+dfsg-2amd64,arm64,armhf,i386
sid1.1.0.1912+dfsg-4amd64,i386
upstream1.1.0.1938
Popcon: 48 users (32 upd.)*
Newer upstream!
License: DFSG free
Git

Simulide is a real time electronic circuit simulator intended for hobbist and student experimentation with simple general purpose electronic circuits and PIC, AVR and Arduino microcontroller simulations.

Screenshots of package simulide
tkgate
Tcl/Tk based digital circuit editor and simulator
Versions of package tkgate
ReleaseVersionArchitectures
bullseye2.1+repack-4amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
jessie2.0~b10-4amd64,armel,armhf,i386
stretch2.0~b10-5amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
sid2.1+repack-6amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
trixie2.1+repack-6amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
buster2.1+repack-3amd64,arm64,armhf,i386
bookworm2.1+repack-5amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
Debtags of package tkgate:
fieldelectronics
hardwareemulation
interfacex11
roleprogram
scopeapplication
uitoolkittk
x11application
Popcon: 26 users (13 upd.)*
Versions and Archs
License: DFSG free
Git

TkGate is a digital circuit editor and simulator with a Tcl/Tk based interface. TkGate includes a large number of built-in devices including basic gates, memories, ttys and modules for hierarchical design. The simulator can be controlled either interactively or through a simulation script. Memory contents can be loaded from files, and a microcode/macrocode compiler (gmac) is included to create tkgate memory files from a high-level description. The simulator supports continuous simulation, single step simulation (by clock or epoch) and breakpoints. Save files are in a Verilog-like format.

TkGate also includes a number of tutorial and example circuits which can be loaded through the "Help" menu. The examples range from a simple gate-level 3-bit adder to a 16-bit CPU programmed to play the "Animals" game.

TkGate has a multi-language interface with support for English, Japanese, French and Spanish.

verilator
fast free Verilog simulator
Versions of package verilator
ReleaseVersionArchitectures
sid5.020-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bookworm5.006-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye4.038-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster4.010-1amd64,arm64,armhf,i386
stretch3.900-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
jessie3.864-1amd64,armel,armhf,i386
trixie5.020-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
upstream5.024
Debtags of package verilator:
fieldelectronics
interfacecommandline
roleprogram
usesimulating
Popcon: 45 users (7 upd.)*
Newer upstream!
License: DFSG free
Git

Verilator is the fastest free Verilog HDL simulator, and beats many commercial simulators. It compiles synthesizable Verilog (not test-bench code!), plus some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code. It is designed for large projects where fast simulation performance is of primary concern, and is especially well suited to generate executable models of CPUs for embedded software design teams.

Official Debian packages with lower relevance

freehdl
VHDL simulator for Linux
Versions of package freehdl
ReleaseVersionArchitectures
buster0.0.8-2.2amd64,arm64,armhf,i386
jessie0.0.8-2.1amd64,armel,armhf,i386
stretch0.0.8-2.2amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
Debtags of package freehdl:
fieldelectronics
hardwareemulation
interfacecommandline
roleprogram
scopeutility
useanalysing, learning
Popcon: 8 users (0 upd.)*
Versions and Archs
License: DFSG free

This is a free VHDL simulator with these features:

  • Has a graphical waveform viewer.
  • Has a source level debugger.
  • Is VHDL-93 compliant.
gplcver
Verilog simulator
Versions of package gplcver
ReleaseVersionArchitectures
stretch2.12a-1.1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
jessie2.12a-1.1amd64,armel,armhf,i386
bullseye2.12a-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid2.12a-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
buster2.12a-1.1amd64,arm64,armhf,i386
Debtags of package gplcver:
develinterpreter
fieldelectronics
roleprogram
usesimulating
Popcon: 6 users (0 upd.)*
Versions and Archs
License: DFSG free
Git

Cver is a full 1995 IEEE P1364 standard Verilog simulator. It also implements some of the 2001 P1364 standard features. All three PLI interfaces (tf_, acc_, and vpi_) are implemented as defined in the IEEE 2001 P1364 LRM.

python3-gnucap
Python 3 bindings for the GNU Circuit Analysis Package
Versions of package python3-gnucap
ReleaseVersionArchitectures
bookworm0.0.2-1.2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster0.0.2-1.1amd64,arm64,armhf,i386
bullseye0.0.2-1.2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid0.0.2-1.2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Popcon: 1 users (2 upd.)*
Versions and Archs
License: DFSG free
Git

This package contains Python bindings for the GNU Circuit Analysis Package.

Gnucap is a general purpose circuit simulator. It performs nonlinear dc and transient analyses, Fourier analysis, and ac analysis linearized at an operating point. It is fully interactive and command driven. It can also be run in batch mode or as a server.

Debian packages in contrib or non-free

easyspice
Graphical frontend to the Spice simulator
Versions of package easyspice
ReleaseVersionArchitectures
stretch0.6.8-2.1 (contrib)amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
jessie0.6.8-2.1 (contrib)amd64,armel,armhf,i386
buster0.6.8-3 (contrib)amd64,arm64,armhf,i386
Debtags of package easyspice:
fieldelectronics
roleprogram
uitoolkitgtk
usesimulating
Popcon: 6 users (0 upd.)*
Versions and Archs
License: DFSG free, but needs non-free components

Easyspice is a graphical frontend for the electrical circuit simulator Spice. It is by default connected to the geda package and ngspice but can be used as a frontend for other spice simulators programs as well.

*Popularitycontest results: number of people who use this package regularly (number of people who upgraded this package recently) out of 237252