Summary
Simulation
Debian packages for simulation of electronic circuits
This metapackage will install Debian packages for simulation
of electronics circuits
Description
For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:
If you discover a project which looks like a good candidate for Debian Electronics
to you, or if you have prepared an unofficial Debian package, please do not hesitate to
send a description of that project to the Debian Electronics mailing list
Links to other tasks
|
Debian Electronics Simulation packages
Official Debian packages with high relevance
ghdl
|
Versions of package ghdl |
Release | Version | Architectures |
bullseye | 1.0.0+dfsg-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 4.1.0+dfsg-4 | amd64,arm64,armel,ppc64el |
trixie | 4.1.0+dfsg-4 | amd64,arm64,armel,ppc64el |
buster | 0.35+git20181129+dfsg-3 | amd64,arm64,armhf,i386 |
bookworm | 2.0.0+dfsg-6.2 | amd64,arm64,armel,i386,mips64el,mipsel,ppc64el |
Debtags of package ghdl: |
devel | compiler |
field | electronics |
hardware | emulation |
interface | commandline |
role | program |
scope | utility |
works-with | software:source |
|
License: DFSG free
|
GHDL is a compiler and simulator for VHDL, a Hardware Description Language.
GHDL is not an interpreter: it allows you to analyse and elaborate sources to
generate machine code from your design. Native program execution is the only
way for high speed simulation.
GHDL offers three machine code generation backends: one based on GCC, one
using the LLVM compiler suite and a GHDL specific one called mcode. These are
available in the ghdl-gcc, ghdl-llvm and ghdl-mcode packages respectively.
Both the GCC and LLVM backends create highly optimized code for excellent
simulation performance while simulations compiled with the GCC backend also
allow coverage testing using gcov. The mcode backend creates less performant
code but makes up for it with much faster compilation. It is therefore
preferable for smaller projects without large or long running simulations.
Multiple backends can be installed at the same time and selected by either
invoking the desired GHDL directly (as ghdl-gcc, ghdl-llvm or ghdl-mcode) or
by providing a GHDL_BACKEND environment variable (containing gcc, llvm or
mcode) while invoking ghdl.
This package is a dependency package that will make sure at least one backend
is installed.
|
|
gnucap
GNU Circuit Analysis package, main executable
|
Versions of package gnucap |
Release | Version | Architectures |
bookworm | 0.36~20171003-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 0.36~20171003-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0.36~20171003-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
experimental | 20230520-dev-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 0.36~20091207-2 | amd64,armel,armhf,i386 |
stretch | 0.36~20091207-2 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 0.36~20171003-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 0.36~20171003-1 | amd64,arm64,armhf,i386 |
bullseye | 0.36~20171003-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
Debtags of package gnucap: |
field | electronics |
interface | text-mode |
role | program |
scope | utility |
suite | gnu |
uitoolkit | ncurses |
use | checking |
|
License: DFSG free
|
Gnucap is a general purpose circuit simulator. It performs nonlinear
dc and transient analyses, Fourier analysis, and ac analysis
linearized at an operating point. It is fully interactive and
command driven. It can also be run in batch mode or as a server.
This package contains a main executable and gnucap-modelgen.
|
|
gtkwave
VCD (Value Change Dump) file waveform viewer
|
Versions of package gtkwave |
Release | Version | Architectures |
stretch | 3.3.79-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 3.3.62-1 | amd64,armel,armhf,i386 |
buster | 3.3.98-1 | amd64,arm64,armhf,i386 |
buster-security | 3.3.98+really3.3.118-0+deb10u1 | amd64,arm64,armhf,i386 |
bullseye | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye-security | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armhf,i386 |
bookworm | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm-security | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
Debtags of package gtkwave: |
field | electronics |
hardware | emulation |
interface | x11 |
role | program |
scope | utility |
uitoolkit | gtk |
use | learning, viewing |
x11 | application |
|
License: DFSG free
|
gtkwave is a viewer for VCD (Value Change Dump) files which
are usually created by digital circuit simulators. (These files
have no connection to video CDs!)
|
|
gwave
waveform viewer eg for spice simulators
|
Versions of package gwave |
Release | Version | Architectures |
bullseye | 20190116-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
stretch | 20090213-6.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package gwave: |
field | electronics |
interface | text-mode, x11 |
role | program |
scope | application |
uitoolkit | gtk, ncurses |
use | viewing |
x11 | application |
|
License: DFSG free
|
Gwave is a tool for viewing analog data, such as the output of Spice
simulations.
Gwave can read "raw" files from spice2G6, spice3F5 or ngspice, and a tabular
ASCII format suitable for use with GnuCAP or homegrown tools. It can also read
several binary and ascii files written by commercial spice-type simulators
such as hspice, tspice, and nanosim.
It supports multiple "panels" (graticules) with multiple variables displayed
in each. Two vertical-bar cursors are available for time-difference
measurements. Multiple files can be loaded, for comparing results of several
simulations.
|
|
irsim
|
Versions of package irsim |
Release | Version | Architectures |
buster | 9.7.101-1 | amd64,arm64,armhf,i386 |
jessie | 9.7.87-1 | amd64,armel,armhf,i386 |
stretch | 9.7.93-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
sid | 9.7.104-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 9.7.104-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bullseye | 9.7.104-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
upstream | 9.7.118 |
Debtags of package irsim: |
field | electronics |
role | program |
use | simulating |
|
License: DFSG free
|
IRSIM is a tool for simulating digital circuits. It is a "switch-level"
simulator; that is, it treats transistors as ideal switches. Extracted
capacitance and lumped resistance values are used to make the switch a little
bit more realistic than the ideal, using the RC time constants to predict the
relative timing of events.
|
|
iverilog
|
Versions of package iverilog |
Release | Version | Architectures |
bookworm | 11.0-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 11.0-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 10.2-1.1 | amd64,arm64,armhf,i386 |
stretch | 10.1-0.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
sid | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 0.9.7-1 | amd64,armel,armhf,i386 |
trixie | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
Debtags of package iverilog: |
field | electronics |
interface | commandline |
role | program |
|
License: DFSG free
|
Icarus Verilog is intended to compile all of the Verilog HDL as
described in the IEEE-1364 standard. It is not quite there
yet. It does currently handle a mix of structural and behavioral
constructs.
The compiler can target either simulation, or netlist (EDIF).
|
|
ngspice
|
Versions of package ngspice |
Release | Version | Architectures |
trixie | 43+ds-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 43+ds-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bullseye | 34+ds-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster-backports | 33+ds-1~bpo10+1 | s390x |
jessie | 26-1.1~deb8u1 (non-free) | amd64,i386 |
buster-backports | 34+ds-1~bpo10+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el |
buster | 30.2-1 | amd64,arm64,armhf,i386 |
stretch-backports-sloppy | 32.2+ds-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 30.2-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster-backports-sloppy | 37+ds-1~bpo10+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el |
stretch | 26-1.1 (non-free) | amd64,i386 |
bullseye-backports | 39.3+ds-1~bpo11+1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 39.3+ds-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm-backports | 43+ds-1~bpo12+1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
upstream | 44 |
Debtags of package ngspice: |
field | electronics |
role | program |
scope | application |
uitoolkit | ncurses |
use | simulating |
|
License: DFSG free
|
NGSpice is a mixed-level/mixed-signal circuit simulator. Its code is based on
three open source software packages:
Spice3f5, Cider1b1 and Xspice. It supports compiled Verilog-A models through
the built-in OSDI interface.
NGSpice is part of gEDA project, a full GPL'd suite of Electronic Design
Automation tools.
|
|
oregano
tool for schematical capture of electronic circuits
|
Versions of package oregano |
Release | Version | Architectures |
sid | 0.84.41+dfsg.1-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
stretch | 0.70-3 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 0.70-2 | amd64,armel,armhf,i386 |
bookworm | 0.84.41+dfsg.1-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.84.41+dfsg.1-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.84.41+dfsg.1-1 | amd64,arm64,armhf,i386 |
Debtags of package oregano: |
field | electronics |
role | program |
suite | gnome |
uitoolkit | gtk |
|
License: DFSG free
|
Oregano is a GNOME application for schematic capture and printing of
electronic circuits. It can simulate the circuits using Gnucap,
ng-spice or Berkeley spice.
|
|
simulide
simple real time electronic circuit simulator
|
Versions of package simulide |
Release | Version | Architectures |
bullseye | 0.1.7+dfsg-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.1.7+dfsg-2 | amd64,arm64,armhf,i386 |
bookworm | 0.1.7+dfsg-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 1.1.0.1912+dfsg-4 | amd64,i386 |
trixie | 1.1.0.1912+dfsg-4 | amd64,i386 |
upstream | 1.1.0.2023 |
|
License: DFSG free
|
Simulide is a real time electronic circuit simulator intended for hobbist and
student experimentation with simple general purpose electronic circuits and
PIC, AVR and Arduino microcontroller simulations.
|
|
tkgate
Tcl/Tk based digital circuit editor and simulator
|
Versions of package tkgate |
Release | Version | Architectures |
bullseye | 2.1+repack-4 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
jessie | 2.0~b10-4 | amd64,armel,armhf,i386 |
sid | 2.1+repack-7 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 2.1+repack-7 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 2.1+repack-5 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
stretch | 2.0~b10-5 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 2.1+repack-3 | amd64,arm64,armhf,i386 |
Debtags of package tkgate: |
field | electronics |
hardware | emulation |
interface | x11 |
role | program |
scope | application |
uitoolkit | tk |
x11 | application |
|
License: DFSG free
|
TkGate is a digital circuit editor and simulator with a Tcl/Tk based
interface. TkGate includes a large number of built-in devices including basic
gates, memories, ttys and modules for hierarchical design. The simulator can
be controlled either interactively or through a simulation script. Memory
contents can be loaded from files, and a microcode/macrocode compiler (gmac)
is included to create tkgate memory files from a high-level description. The
simulator supports continuous simulation, single step simulation (by clock or
epoch) and breakpoints. Save files are in a Verilog-like format.
TkGate also includes a number of tutorial and example circuits which can be
loaded through the "Help" menu. The examples range from a simple gate-level
3-bit adder to a 16-bit CPU programmed to play the "Animals" game.
TkGate has a multi-language interface with support for English, Japanese,
French and Spanish.
|
|
verilator
fast free Verilog simulator
|
Versions of package verilator |
Release | Version | Architectures |
bookworm | 5.006-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 4.010-1 | amd64,arm64,armhf,i386 |
stretch | 3.900-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 3.864-1 | amd64,armel,armhf,i386 |
sid | 5.032-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 5.030-4 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bullseye | 4.038-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
Debtags of package verilator: |
field | electronics |
interface | commandline |
role | program |
use | simulating |
|
License: DFSG free
|
Verilator is the fastest free Verilog HDL simulator, and beats many commercial
simulators. It compiles synthesizable Verilog (not test-bench code!), plus
some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code.
It is designed for large projects where fast simulation performance is of
primary concern, and is especially well suited to generate executable models
of CPUs for embedded software design teams.
|
|
Official Debian packages with lower relevance
freehdl
|
Versions of package freehdl |
Release | Version | Architectures |
buster | 0.0.8-2.2 | amd64,arm64,armhf,i386 |
jessie | 0.0.8-2.1 | amd64,armel,armhf,i386 |
stretch | 0.0.8-2.2 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package freehdl: |
field | electronics |
hardware | emulation |
interface | commandline |
role | program |
scope | utility |
use | analysing, learning |
|
License: DFSG free
|
This is a free VHDL simulator with these features:
- Has a graphical waveform viewer.
- Has a source level debugger.
- Is VHDL-93 compliant.
|
|
gplcver
|
Versions of package gplcver |
Release | Version | Architectures |
trixie | 2.12a-3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
buster | 2.12a-1.1 | amd64,arm64,armhf,i386 |
jessie | 2.12a-1.1 | amd64,armel,armhf,i386 |
stretch | 2.12a-1.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
bullseye | 2.12a-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 2.12a-3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
Debtags of package gplcver: |
devel | interpreter |
field | electronics |
role | program |
use | simulating |
|
License: DFSG free
|
Cver is a full 1995 IEEE P1364 standard Verilog simulator. It also
implements some of the 2001 P1364 standard features. All three
PLI interfaces (tf_, acc_, and vpi_) are implemented as defined
in the IEEE 2001 P1364 LRM.
|
|
python3-gnucap
Python 3 bindings for the GNU Circuit Analysis Package
|
Versions of package python3-gnucap |
Release | Version | Architectures |
sid | 0.0.2-1.2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bullseye | 0.0.2-1.2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.0.2-1.1 | amd64,arm64,armhf,i386 |
bookworm | 0.0.2-1.2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
|
License: DFSG free
|
This package contains Python bindings for the GNU Circuit Analysis Package.
Gnucap is a general purpose circuit simulator. It performs nonlinear
dc and transient analyses, Fourier analysis, and ac analysis
linearized at an operating point. It is fully interactive and
command driven. It can also be run in batch mode or as a server.
|
|
Debian packages in contrib or non-free
easyspice
Graphical frontend to the Spice simulator
|
Versions of package easyspice |
Release | Version | Architectures |
stretch | 0.6.8-2.1 (contrib) | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 0.6.8-2.1 (contrib) | amd64,armel,armhf,i386 |
buster | 0.6.8-3 (contrib) | amd64,arm64,armhf,i386 |
Debtags of package easyspice: |
field | electronics |
role | program |
uitoolkit | gtk |
use | simulating |
|
License: DFSG free, but needs non-free components
|
Easyspice is a graphical frontend for the electrical circuit simulator
Spice. It is by default connected to the geda package and ngspice but
can be used as a frontend for other spice simulators programs as well.
|
|