Summary
FPGA development
Debian FPGA development packages
This metapackage will install Debian packages for FPGA
development
Description
For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:
If you discover a project which looks like a good candidate for Debian Electronics
to you, or if you have prepared an unofficial Debian package, please do not hesitate to
send a description of that project to the Debian Electronics mailing list
Links to other tasks
|
Debian Electronics FPGA development packages
Official Debian packages with high relevance
arachne-pnr
Place and route tool for iCE40 family FPGAs
|
Versions of package arachne-pnr |
Release | Version | Architectures |
sid | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.1+20190728gitc40fb22-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.1+20180909git840bdfd-1 | amd64,arm64,armhf,i386 |
stretch | 0.1+20160813git52e69ed-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
|
License: DFSG free
|
Arachne-pnr implements the place and route step of the hardware compilation
process for FPGAs. It accepts as input a technology-mapped netlist in BLIF
format, as output by the Yosys synthesis suite for example. It currently
targets the Lattice Semiconductor iCE40 family of FPGAs. Its output is a
textual bitstream representation for assembly by the IceStorm icepack command.
The output of icepack is a binary bitstream which can be uploaded to a hardware
device.
Together, Yosys, arachne-pnr and IceStorm provide an fully open-source
Verilog-to-bistream tool chain for iCE40 1K and 8K FPGA development.
The authors of arachne-pnr have now prepared its successor 'nextpnr'.
|
|
fpga-icestorm
Tools to handle the bitstream format of Lattice iCE40 FPGAs
|
Versions of package fpga-icestorm |
Release | Version | Architectures |
stretch | 0~20160913git266e758-3 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 0~20180904git8f61acd-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 0~20181109git9671b76-1 | amd64,arm64,armhf,i386 |
bullseye | 0~20190913git0ec00d8-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 0~20230218gitd20a5e9-1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 0~20230218gitd20a5e9-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0~20230218gitd20a5e9-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
upstream | 0.0~git20241211.7190770 |
|
License: DFSG free
|
Project IceStorm aims at documenting the bitstream format of Lattice iCE40
FPGAs and providing simple tools for analyzing and creating bitstream files.
The focus of the project is on the iCE40 LP/HX 1K/4K/8K chips. The iCE40
UltraPlus parts are also supported, including DSPs, oscillators, RGB and
SPRAM. iCE40 LM, Ultra and UltraLite parts are not yet supported.
This package contains multiple tools needed to handle the bitstream.
|
|
fpgatools
tool to program field-programmable gate arrays
|
Versions of package fpgatools |
Release | Version | Architectures |
trixie | 0.0+201212-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0.0+201212-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 0.0+201212-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.0+201212-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.0+201212-1 | amd64,arm64,armhf,i386 |
stretch | 0.0+201212-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 0.0+201212-1 | amd64,armel,armhf,i386 |
|
License: DFSG free
|
fpgatools is a toolchain to program field-programmable gate array
(FPGA). The only supported chip at this time is the xc6slx9, a cheap
but powerful 45nm-generation chip with about 2400 LUTs, block ram and
multiply-accumulate devices.
|
|
gtkwave
VCD (Value Change Dump) file waveform viewer
|
Versions of package gtkwave |
Release | Version | Architectures |
jessie | 3.3.62-1 | amd64,armel,armhf,i386 |
sid | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm-security | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye-security | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armhf,i386 |
bullseye | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster-security | 3.3.98+really3.3.118-0+deb10u1 | amd64,arm64,armhf,i386 |
buster | 3.3.98-1 | amd64,arm64,armhf,i386 |
stretch | 3.3.79-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package gtkwave: |
field | electronics |
hardware | emulation |
interface | x11 |
role | program |
scope | utility |
uitoolkit | gtk |
use | learning, viewing |
x11 | application |
|
License: DFSG free
|
gtkwave is a viewer for VCD (Value Change Dump) files which
are usually created by digital circuit simulators. (These files
have no connection to video CDs!)
|
|
iverilog
|
Versions of package iverilog |
Release | Version | Architectures |
sid | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 0.9.7-1 | amd64,armel,armhf,i386 |
stretch | 10.1-0.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 10.2-1.1 | amd64,arm64,armhf,i386 |
bullseye | 11.0-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 11.0-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
Debtags of package iverilog: |
field | electronics |
interface | commandline |
role | program |
|
License: DFSG free
|
Icarus Verilog is intended to compile all of the Verilog HDL as
described in the IEEE-1364 standard. It is not quite there
yet. It does currently handle a mix of structural and behavioral
constructs.
The compiler can target either simulation, or netlist (EDIF).
|
|
nextpnr-ice40
FPGA place and route tool for Lattice iCE40
|
Versions of package nextpnr-ice40 |
Release | Version | Architectures |
sid | 0.7-1 | amd64,arm64,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 0.7-1 | amd64,arm64,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 0.4-1 | amd64,arm64,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.0~git20210102.9b96280-1 | amd64,arm64,i386,mips64el,mipsel,ppc64el,s390x |
|
License: DFSG free
|
nextpnr is a FPGA place and route tool. Its purpose is to turn a
topological description of digital hardware produced by an FPGA logic
synthesis tool such as yosys into an elaborate map of connections between
the hardwired functional units available inside the FPGA's fabric.
In order to verify the fully implemented design for proper operation at
high speed timing-analysis of the design is also supported.
nextpnr-ice40 supports the Lattice iCE40 series of FPGAs and uses the
hardware description chipdb from the fpga-icestorm package.
This package supports only the command-line interface, there is also a GUI
version in the nextpnr-ice40-qt package.
|
|
verilator
fast free Verilog simulator
|
Versions of package verilator |
Release | Version | Architectures |
buster | 4.010-1 | amd64,arm64,armhf,i386 |
bullseye | 4.038-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 5.030-4 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 5.006-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 5.032-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 3.864-1 | amd64,armel,armhf,i386 |
stretch | 3.900-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package verilator: |
field | electronics |
interface | commandline |
role | program |
use | simulating |
|
License: DFSG free
|
Verilator is the fastest free Verilog HDL simulator, and beats many commercial
simulators. It compiles synthesizable Verilog (not test-bench code!), plus
some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code.
It is designed for large projects where fast simulation performance is of
primary concern, and is especially well suited to generate executable models
of CPUs for embedded software design teams.
|
|
yosys
Framework for Verilog RTL synthesis
|
Versions of package yosys |
Release | Version | Architectures |
buster-backports | 0.9-1~bpo10+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 0.8-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch | 0.7-2+deb9u1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
sid | 0.33-6 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64 |
experimental | 0.33-6~exp3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64 |
bookworm | 0.23-6 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el |
bullseye | 0.9-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.8-1 | amd64,arm64,armhf,i386 |
upstream | 0.44 |
|
License: DFSG free
|
This is a framework for Verilog RTL synthesis. It currently has extensive
Verilog-2005 support and provides a basic set of synthesis algorithms for
various application domains.
Yosys can be adapted to perform any synthesis job by combining the existing
passes (algorithms) using synthesis scripts and adding additional passes as
needed by extending the yosys C++ code base.
|
|
|