Summary
Digital design
Debianpakker for digital design
Denne metapakke vil installere Debinapakker for digital simulering og design.
Description
For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:
If you discover a project which looks like a good candidate for Debian Electronics
to you, or if you have prepared an unofficial Debian package, please do not hesitate to
send a description of that project to the Debian Electronics mailing list
Links to other tasks
|
Debian Electronics Digital design packages
Official Debian packages with high relevance
arachne-pnr
Sted- og ruteværktøj for iCE40-familens FPGA'er
|
Versions of package arachne-pnr |
Release | Version | Architectures |
stretch | 0.1+20160813git52e69ed-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 0.1+20180909git840bdfd-1 | amd64,arm64,armhf,i386 |
bullseye | 0.1+20190728gitc40fb22-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
|
License: DFSG free
|
Arachne-pnr implementerer sted- og rutetrin til kompileringsprocessen for
udstyr til FPGA'er. Værktøjet accepterer f.eks. som inddata en
teknologioversat netliste i BLIF-format, som uddata Yosys-syntesepakken. Er
i øjeblikket målrettet Lattice Semiconductor iCE40-familien af FPGA'er.
Dets uddata er en tekst-bitstrømrepræsentation for samling af kommandoen
IceStorm icepack. Uddata for icepack er en binær bitstrøm, som kan
overføres til en udstyrsenhed.
Sammen tilbyder Yosys, arachne-pnr og IceStorm en fuld værktøjskæde
Verilog-til-bitstrøm udviklet i åben kildekode for iCE40 1K og 8K
FPGA-udvikling.
Forfatterne til arachne-pnr har nu forberedt efterfølgeren »nextpnr«.
|
|
covered
Verilogs analyseværktøj for kodedækning
|
Versions of package covered |
Release | Version | Architectures |
stretch | 0.7.10-3 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.7.10-3.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 0.7.10-5 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0.7.10-5 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 0.7.10-2 | amd64,armel,armhf,i386 |
buster | 0.7.10-3 | amd64,arm64,armhf,i386 |
Debtags of package covered: |
field | electronics |
interface | commandline, x11 |
role | program |
uitoolkit | tk |
use | viewing |
x11 | application |
|
License: DFSG free
|
Covered er et Verilogredskab til kodedækning som læser i et Verilogdesign
og opretter en VCD/LXT-dumpfil ud fra det design og derefter opretter en
dækningsfil som kan sammenføjes med andre dækningsfiler eller bruges til
at oprette en dækningsrapport. Covered indeholder også redskabet til en
grafisk brugergrænseflade som læser en dækningsfil for at tillade
interaktiv dækningsopdagelse. Områder som Coverede dækker er: linje,
toggle, hukommelse, kombineret logik, FSM-tilstand/tilstandsovergang og
assertiondækning.
|
|
drawtiming
værktøj for dokumentation af maskinelle design via tidsdiagrammer
|
Versions of package drawtiming |
Release | Version | Architectures |
buster | 0.7.1-7 | amd64,arm64,armhf,i386 |
bullseye | 0.7.1-7 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 0.7.1-11 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0.7.1-11 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 0.7.1-6 | amd64,armel,armhf,i386 |
stretch | 0.7.1-6 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package drawtiming: |
field | electronics |
interface | commandline |
role | program |
scope | utility |
use | editing |
works-with | image |
|
License: DFSG free
|
Drawtiming er et kommandolinjeværktøj for dokumentation af maskinelle
design via tidsdiagrammer. Værktøjet sender signalbeskrivelser i tekst ind
og udsender billedtidsdiagrammer i mange forskellige formater.
|
|
ghdl
|
Versions of package ghdl |
Release | Version | Architectures |
bullseye | 1.0.0+dfsg-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 4.1.0+dfsg-4 | amd64,arm64,armel,ppc64el |
trixie | 4.1.0+dfsg-4 | amd64,arm64,armel,ppc64el |
buster | 0.35+git20181129+dfsg-3 | amd64,arm64,armhf,i386 |
bookworm | 2.0.0+dfsg-6.2 | amd64,arm64,armel,i386,mips64el,mipsel,ppc64el |
Debtags of package ghdl: |
devel | compiler |
field | electronics |
hardware | emulation |
interface | commandline |
role | program |
scope | utility |
works-with | software:source |
|
License: DFSG free
|
GHDL er en kompiler og simulator for VHDL, et Hardware Description
Language. GHDL er ikke en fortolker: Den gør det muligt at analysere og
udvide kilder til at oprette maskinkode fra dine design. Programkørsel
er den eneste måde for simulering i høj hastighed.
GHDL tilbyder tre motorer til oprettelse af maskinkode: en baseret på
GCC, en der bruger LLVM-kompilerpakken og en GHDL-specifik kaldt mcode.
Disse er tilgængelige i pakkerne ghdl-gcc, ghdl-llvm og ghdl-mcode
respektivt. Både GCC- og LLVM-motorerne opretter højt optimeret kode
for glimrende simuleringsydelse, mens simuleringer kompileret med GCC-
motoren også tillader dækningstest via gcov. Motoren mcode opretter
mindre ydende kode, men godtgør det ved meget hurtigere kompilering. Det
foretrækkes derfor til mindre projekter uden store eller langtidskørende
simuleringer.
Flere motorer kan installeres på samme tid og vælges ved enten at
starte den ønskede GHDL direkte (som ghdl-gcc, ghdl-llvm eller ghl-
mcode) eller ved at specificere en GHDL_BACKEND-miljøvariabel
(indeholdende gcc, llvm eller mcode) mens ghdl startes.
Denne pakke er en afhængighedspakker, der sikrer at mindst en motor er installeret.
|
|
gtkwave
Fremviser for filer i VCD-waveform (Value Change Dump)
|
Versions of package gtkwave |
Release | Version | Architectures |
bookworm-security | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye-security | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armhf,i386 |
bullseye | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster-security | 3.3.98+really3.3.118-0+deb10u1 | amd64,arm64,armhf,i386 |
buster | 3.3.98-1 | amd64,arm64,armhf,i386 |
stretch | 3.3.79-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 3.3.62-1 | amd64,armel,armhf,i386 |
sid | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
Debtags of package gtkwave: |
field | electronics |
hardware | emulation |
interface | x11 |
role | program |
scope | utility |
uitoolkit | gtk |
use | learning, viewing |
x11 | application |
|
License: DFSG free
|
gtkwave er en fremviser for VCD-filer (Value Change Dump), som normalt
oprettes af digitale kredsløbssimulatorer. (Disse filer har ingen
forbindelse til video-cd'er!).
|
|
irsim
|
Versions of package irsim |
Release | Version | Architectures |
buster | 9.7.101-1 | amd64,arm64,armhf,i386 |
jessie | 9.7.87-1 | amd64,armel,armhf,i386 |
stretch | 9.7.93-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
bullseye | 9.7.104-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 9.7.104-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 9.7.104-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
upstream | 9.7.118 |
Debtags of package irsim: |
field | electronics |
role | program |
use | simulating |
|
License: DFSG free
|
IRSIM er et værktøj til simulering af digitale kredsløb. Det er en
»Switch-niveau« simulator; det vil sige den opfatter transistorer som
ideelle relæer. Udvundet kapacitans og klumpet modstandsværdier bruges
til at gøre relæet lidt mere realistisk end det ideelle, ved hjælp af
RC-tidskonstanter til at forudsige den relative timing af hændelser.
|
|
iverilog
|
Versions of package iverilog |
Release | Version | Architectures |
jessie | 0.9.7-1 | amd64,armel,armhf,i386 |
bullseye | 11.0-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 10.2-1.1 | amd64,arm64,armhf,i386 |
stretch | 10.1-0.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
sid | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 11.0-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
Debtags of package iverilog: |
field | electronics |
interface | commandline |
role | program |
|
License: DFSG free
|
Icarus Verilog er lavet for at kompilere alle dele af Verilog HDL som
beskrevet i IEEE-1364-standarden. Programmet er ikke helt klart. I
øjeblikket håndteres en blanding af strukturelle og opførsel konstruktioner.
Kompileren kan være målrettet enten simulering eller netlist (EDIF).
|
|
python3-myhdl
Hardware description language for Python (Python 3)
|
Versions of package python3-myhdl |
Release | Version | Architectures |
buster | 0.10-2 | all |
|
License: DFSG free
|
MyHDL turns Python into a hardware description and verification language,
providing hardware engineers with the power of the Python ecosystem.
Python can then be used as an event-driven simulator using Python decorators
actively to specify what corresponds to 'processes' in Verilog / VHDL and
thereby achieve concurrency.
This package installs the library for Python 3.
|
|
qrouter
Over-the-celle labyrintruter for flere niveauer
|
Versions of package qrouter |
Release | Version | Architectures |
bullseye | 1.4.71-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 1.4.71-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 1.4.71-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 1.3.3-1 | amd64,armel,armhf,i386 |
stretch | 1.3.57-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 1.3.106-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 1.3.108-1 | amd64,arm64,armhf,i386 |
upstream | 1.4.88 |
|
License: DFSG free
|
Qrouter er et værktøj til at oprette metallag og viadukter til fysisk at
forbinde en netliste i en VLSI-fabrikationsteknologi. Det er en
labyrintruter, ellers kendt under navnet »over-the-cell«-ruter eller
»sea-of-gates«-ruter. Det vil sige til forskel fra en kanalruter, så
begynder den med en beskrivelse af placerede standardceller, normalt pakket
sammen med minimal mellemrum og placerer så metalruter over standardcellerne.
Qrouter bruger de åbne standardformater LEF og DEF som filinddata og
uddata. Værktøjet bruger celledefinitionerne fra en LEF-fil og analyserer
geometrien for hver celle for at bestemme kontaktpunkter og
ruteobstruktioner. Det læser så celleplaceringen, pin-placering og netliste
fra en DEF-fil, udfører den detaljerede rute og skriver en annoteret
DEF-fil som uddata.
|
|
simulide
Simpel elektronisk kredsløbssimulator i realtid
|
Versions of package simulide |
Release | Version | Architectures |
bullseye | 0.1.7+dfsg-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 0.1.7+dfsg-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 1.1.0.1912+dfsg-4 | amd64,i386 |
trixie | 1.1.0.1912+dfsg-4 | amd64,i386 |
buster | 0.1.7+dfsg-2 | amd64,arm64,armhf,i386 |
upstream | 1.1.0.2023 |
|
License: DFSG free
|
Simulide er en elektronisk kredsløbssimulator i realtid lavet til
hobbybrugere og studenteksperimenter med simple og almene elektroniske
kredsløb og PIC-, AVR- og Arduino microcontroller-simuleringer.
|
|
verilator
Hurtig og fri Verilog-simulator
|
Versions of package verilator |
Release | Version | Architectures |
sid | 5.032-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 3.864-1 | amd64,armel,armhf,i386 |
buster | 4.010-1 | amd64,arm64,armhf,i386 |
bullseye | 4.038-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 5.006-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 5.030-4 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
stretch | 3.900-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package verilator: |
field | electronics |
interface | commandline |
role | program |
use | simulating |
|
License: DFSG free
|
Verilator er den hurtigste frie Verilog HDL-simulator, og den slår mange
kommercielle simulatorer. Den kompilerer synthesizer egnet Verilog (ikke
test-bench-kode!), samt lidt PSL, SystemVerilog- og Synthesis-påstande til
C++ eller SystemC-kode. Det er designet for store projekter, hvor hurtig
simuleringsydelse er af primær koncern, og er specielt velegnet til at
oprette kørbare modeller for CPU'er for indlejrede programdesignhold.
|
|
yosys
Ramme for Verilog RTL-syntese
|
Versions of package yosys |
Release | Version | Architectures |
experimental | 0.33-6~exp3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64 |
sid | 0.33-6 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64 |
bullseye | 0.9-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster-backports | 0.9-1~bpo10+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 0.8-1 | amd64,arm64,armhf,i386 |
stretch-backports | 0.8-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch | 0.7-2+deb9u1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
bookworm | 0.23-6 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el |
upstream | 0.44 |
|
License: DFSG free
|
Dette er en ramme for Verilog RTL-syntese. Det har i øjeblikket omfattende
Verilog-2005-understøttelse og tilbyder et grundlæggende sæt af
syntesealgoritmer for diverse programdomæner.
Yosys kan tilpasse til at udføre alle syntesejob ved at kombinere de
eksisterende gennemløb (algoritmer) via synteseskripter og tilføje
yderligere gennemløb efter behov ved at udvide yosys C++-kodebasen.
|
|
|