Debian Electronics Project
Summary
Digital design
Debianpakker for digital design

Denne metapakke vil installere Debinapakker for digital simulering og design.

Description

For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:

If you discover a project which looks like a good candidate for Debian Electronics to you, or if you have prepared an unofficial Debian package, please do not hesitate to send a description of that project to the Debian Electronics mailing list

Links to other tasks

Debian Electronics Digital design packages

Official Debian packages with high relevance

arachne-pnr
Sted- og ruteværktøj for iCE40-familens FPGA'er
Versions of package arachne-pnr
ReleaseVersionArchitectures
stretch0.1+20160813git52e69ed-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster0.1+20180909git840bdfd-1amd64,arm64,armhf,i386
bullseye0.1+20190728gitc40fb22-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm0.1+20190728gitc40fb22-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie0.1+20190728gitc40fb22-3amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid0.1+20190728gitc40fb22-3amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Popcon: 11 users (6 upd.)*
Versions and Archs
License: DFSG free
Git

Arachne-pnr implementerer sted- og rutetrin til kompileringsprocessen for udstyr til FPGA'er. Værktøjet accepterer f.eks. som inddata en teknologioversat netliste i BLIF-format, som uddata Yosys-syntesepakken. Er i øjeblikket målrettet Lattice Semiconductor iCE40-familien af FPGA'er. Dets uddata er en tekst-bitstrømrepræsentation for samling af kommandoen IceStorm icepack. Uddata for icepack er en binær bitstrøm, som kan overføres til en udstyrsenhed.

Sammen tilbyder Yosys, arachne-pnr og IceStorm en fuld værktøjskæde Verilog-til-bitstrøm udviklet i åben kildekode for iCE40 1K og 8K FPGA-udvikling.

Forfatterne til arachne-pnr har nu forberedt efterfølgeren »nextpnr«.

covered
Verilogs analyseværktøj for kodedækning
Versions of package covered
ReleaseVersionArchitectures
jessie0.7.10-2amd64,armel,armhf,i386
sid0.7.10-4amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bullseye0.7.10-3.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster0.7.10-3amd64,arm64,armhf,i386
stretch0.7.10-3amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
Debtags of package covered:
fieldelectronics
interfacecommandline, x11
roleprogram
uitoolkittk
useviewing
x11application
Popcon: 9 users (0 upd.)*
Versions and Archs
License: DFSG free
Git

Covered er et Verilogredskab til kodedækning som læser i et Verilogdesign og opretter en VCD/LXT-dumpfil ud fra det design og derefter opretter en dækningsfil som kan sammenføjes med andre dækningsfiler eller bruges til at oprette en dækningsrapport. Covered indeholder også redskabet til en grafisk brugergrænseflade som læser en dækningsfil for at tillade interaktiv dækningsopdagelse. Områder som Coverede dækker er: linje, toggle, hukommelse, kombineret logik, FSM-tilstand/tilstandsovergang og assertiondækning.

drawtiming
værktøj for dokumentation af maskinelle design via tidsdiagrammer
Versions of package drawtiming
ReleaseVersionArchitectures
stretch0.7.1-6amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster0.7.1-7amd64,arm64,armhf,i386
bullseye0.7.1-7amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie0.7.1-10amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid0.7.1-10amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
jessie0.7.1-6amd64,armel,armhf,i386
Debtags of package drawtiming:
fieldelectronics
interfacecommandline
roleprogram
scopeutility
useediting
works-withimage
Popcon: 8 users (7 upd.)*
Versions and Archs
License: DFSG free
Git

Drawtiming er et kommandolinjeværktøj for dokumentation af maskinelle design via tidsdiagrammer. Værktøjet sender signalbeskrivelser i tekst ind og udsender billedtidsdiagrammer i mange forskellige formater.

ghdl
VHDL-kompiler/simulator
Versions of package ghdl
ReleaseVersionArchitectures
sid2.0.0+dfsg-6.2arm64
sid3.0.0+dfsg2-1amd64,i386,mips64el,ppc64el
sid3.0.0+dfsg-1armel
buster0.35+git20181129+dfsg-3amd64,arm64,armhf,i386
bullseye1.0.0+dfsg-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm2.0.0+dfsg-6.2amd64,arm64,armel,i386,mips64el,mipsel,ppc64el
upstream4.1.0
Debtags of package ghdl:
develcompiler
fieldelectronics
hardwareemulation
interfacecommandline
roleprogram
scopeutility
works-withsoftware:source
Popcon: 1 users (0 upd.)*
Newer upstream!
License: DFSG free
Git

GHDL er en kompiler og simulator for VHDL, et Hardware Description Language. GHDL er ikke en fortolker: Den gør det muligt at analysere og udvide kilder til at oprette maskinkode fra dine design. Programkørsel er den eneste måde for simulering i høj hastighed.

GHDL tilbyder tre motorer til oprettelse af maskinkode: en baseret på GCC, en der bruger LLVM-kompilerpakken og en GHDL-specifik kaldt mcode. Disse er tilgængelige i pakkerne ghdl-gcc, ghdl-llvm og ghdl-mcode respektivt. Både GCC- og LLVM-motorerne opretter højt optimeret kode for glimrende simuleringsydelse, mens simuleringer kompileret med GCC- motoren også tillader dækningstest via gcov. Motoren mcode opretter mindre ydende kode, men godtgør det ved meget hurtigere kompilering. Det foretrækkes derfor til mindre projekter uden store eller langtidskørende simuleringer.

Flere motorer kan installeres på samme tid og vælges ved enten at starte den ønskede GHDL direkte (som ghdl-gcc, ghdl-llvm eller ghl- mcode) eller ved at specificere en GHDL_BACKEND-miljøvariabel (indeholdende gcc, llvm eller mcode) mens ghdl startes.

Denne pakke er en afhængighedspakker, der sikrer at mindst en motor er installeret.

gtkwave
Fremviser for filer i VCD-waveform (Value Change Dump)
Versions of package gtkwave
ReleaseVersionArchitectures
bullseye-proposed-updates3.3.104+really3.3.118-0+deb11u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye-security3.3.104+really3.3.118-0+deb11u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye3.3.104-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster-security3.3.98+really3.3.118-0+deb10u1amd64,arm64,armhf,i386
buster3.3.98-1amd64,arm64,armhf,i386
stretch3.3.79-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
jessie3.3.62-1amd64,armel,armhf,i386
bookworm-proposed-updates3.3.118-0.1~deb12u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie3.3.118-0.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid3.3.118-0.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bookworm-security3.3.118-0.1~deb12u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm3.3.114-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
upstream3.3.119
Debtags of package gtkwave:
fieldelectronics
hardwareemulation
interfacex11
roleprogram
scopeutility
uitoolkitgtk
uselearning, viewing
x11application
Popcon: 93 users (180 upd.)*
Newer upstream!
License: DFSG free
Git

gtkwave er en fremviser for VCD-filer (Value Change Dump), som normalt oprettes af digitale kredsløbssimulatorer. (Disse filer har ingen forbindelse til video-cd'er!).

Screenshots of package gtkwave
irsim
Simulator for kredsløb
Versions of package irsim
ReleaseVersionArchitectures
stretch9.7.93-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
jessie9.7.87-1amd64,armel,armhf,i386
buster9.7.101-1amd64,arm64,armhf,i386
bullseye9.7.104-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid9.7.104-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
upstream9.7.118
Debtags of package irsim:
fieldelectronics
roleprogram
usesimulating
Popcon: 10 users (0 upd.)*
Newer upstream!
License: DFSG free
Git

IRSIM er et værktøj til simulering af digitale kredsløb. Det er en »Switch-niveau« simulator; det vil sige den opfatter transistorer som ideelle relæer. Udvundet kapacitans og klumpet modstandsværdier bruges til at gøre relæet lidt mere realistisk end det ideelle, ved hjælp af RC-tidskonstanter til at forudsige den relative timing af hændelser.

iverilog
Icarus Verilog-kompiler
Versions of package iverilog
ReleaseVersionArchitectures
bullseye11.0-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie12.0-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
bookworm11.0-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
jessie0.9.7-1amd64,armel,armhf,i386
buster10.2-1.1amd64,arm64,armhf,i386
stretch10.1-0.1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
sid12.0-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Debtags of package iverilog:
fieldelectronics
interfacecommandline
roleprogram
Popcon: 67 users (42 upd.)*
Versions and Archs
License: DFSG free
Git

Icarus Verilog er lavet for at kompilere alle dele af Verilog HDL som beskrevet i IEEE-1364-standarden. Programmet er ikke helt klart. I øjeblikket håndteres en blanding af strukturelle og opførsel konstruktioner.

Kompileren kan være målrettet enten simulering eller netlist (EDIF).

python3-myhdl
Udstyrsbeskrivelsessprog for Python - Python 3
Versions of package python3-myhdl
ReleaseVersionArchitectures
buster0.10-2all
sid0.11-1all
Popcon: 5 users (0 upd.)*
Versions and Archs
License: DFSG free
Git

MyHDL omdanner Python til et sprog for udstyrsbeskrivelse og verifikation, der tilbyder ingeniører med kraften fra Pythons økosystem.

Python kan så bruges som en hændelsesdrevet simulator, der bruger Pythondekoratører aktivt til at angive, hvad der svarer til »processer« i Veriolog/VHDL og dermed opnå samtidighed.

Denne pakke installerer biblioteket for Python 3.

qrouter
Over-the-celle labyrintruter for flere niveauer
Versions of package qrouter
ReleaseVersionArchitectures
bullseye1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
jessie1.3.3-1amd64,armel,armhf,i386
stretch1.3.57-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
stretch-backports1.3.106-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster1.3.108-1amd64,arm64,armhf,i386
upstream1.4.87
Popcon: 16 users (10 upd.)*
Newer upstream!
License: DFSG free
Git

Qrouter er et værktøj til at oprette metallag og viadukter til fysisk at forbinde en netliste i en VLSI-fabrikationsteknologi. Det er en labyrintruter, ellers kendt under navnet »over-the-cell«-ruter eller »sea-of-gates«-ruter. Det vil sige til forskel fra en kanalruter, så begynder den med en beskrivelse af placerede standardceller, normalt pakket sammen med minimal mellemrum og placerer så metalruter over standardcellerne.

Qrouter bruger de åbne standardformater LEF og DEF som filinddata og uddata. Værktøjet bruger celledefinitionerne fra en LEF-fil og analyserer geometrien for hver celle for at bestemme kontaktpunkter og ruteobstruktioner. Det læser så celleplaceringen, pin-placering og netliste fra en DEF-fil, udfører den detaljerede rute og skriver en annoteret DEF-fil som uddata.

simulide
Simpel elektronisk kredsløbssimulator i realtid
Maintainer: Milan Kupcevic
Versions of package simulide
ReleaseVersionArchitectures
bullseye0.1.7+dfsg-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie1.1.0.1912+dfsg-4amd64,i386
sid1.1.0.1912+dfsg-4amd64,i386
bookworm0.1.7+dfsg-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster0.1.7+dfsg-2amd64,arm64,armhf,i386
upstream1.1.0.1940
Popcon: 44 users (51 upd.)*
Newer upstream!
License: DFSG free
Git

Simulide er en elektronisk kredsløbssimulator i realtid lavet til hobbybrugere og studenteksperimenter med simple og almene elektroniske kredsløb og PIC-, AVR- og Arduino microcontroller-simuleringer.

Screenshots of package simulide
verilator
Hurtig og fri Verilog-simulator
Versions of package verilator
ReleaseVersionArchitectures
buster4.010-1amd64,arm64,armhf,i386
bullseye4.038-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm5.006-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
jessie3.864-1amd64,armel,armhf,i386
stretch3.900-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
trixie5.024-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
sid5.024-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Debtags of package verilator:
fieldelectronics
interfacecommandline
roleprogram
usesimulating
Popcon: 42 users (17 upd.)*
Versions and Archs
License: DFSG free
Git

Verilator er den hurtigste frie Verilog HDL-simulator, og den slår mange kommercielle simulatorer. Den kompilerer synthesizer egnet Verilog (ikke test-bench-kode!), samt lidt PSL, SystemVerilog- og Synthesis-påstande til C++ eller SystemC-kode. Det er designet for store projekter, hvor hurtig simuleringsydelse er af primær koncern, og er specielt velegnet til at oprette kørbare modeller for CPU'er for indlejrede programdesignhold.

yosys
Ramme for Verilog RTL-syntese
Versions of package yosys
ReleaseVersionArchitectures
stretch-backports0.8-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
bookworm0.23-6amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el
trixie0.33-5amd64,arm64,armel,armhf,i386,mips64el,ppc64el
sid0.33-5amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64
experimental0.33-6~exp2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64
bullseye0.9-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster-backports0.9-1~bpo10+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster0.8-1amd64,arm64,armhf,i386
stretch0.7-2+deb9u1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
upstream0.41
Popcon: 32 users (37 upd.)*
Newer upstream!
License: DFSG free
Git

Dette er en ramme for Verilog RTL-syntese. Det har i øjeblikket omfattende Verilog-2005-understøttelse og tilbyder et grundlæggende sæt af syntesealgoritmer for diverse programdomæner.

Yosys kan tilpasse til at udføre alle syntesejob ved at kombinere de eksisterende gennemløb (algoritmer) via synteseskripter og tilføje yderligere gennemløb efter behov ved at udvide yosys C++-kodebasen.

Registry entries: SciCrunch 
Screenshots of package yosys
*Popularitycontest results: number of people who use this package regularly (number of people who upgraded this package recently) out of 237964