Debian Electronics Project
Summary
Digital design
pacchetti Debian per progettazione digitale

Questo metapacchetto installa i pacchetti Debian per progettazione e simulazione digitale.

Description

For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:

If you discover a project which looks like a good candidate for Debian Electronics to you, or if you have prepared an unofficial Debian package, please do not hesitate to send a description of that project to the Debian Electronics mailing list

Links to other tasks

Debian Electronics Digital design packages

Official Debian packages with high relevance

arachne-pnr
strumento per posizionamento e percorsi per la famiglia di FPGA iCE40
Versions of package arachne-pnr
ReleaseVersionArchitectures
stretch0.1+20160813git52e69ed-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster0.1+20180909git840bdfd-1amd64,arm64,armhf,i386
bullseye0.1+20190728gitc40fb22-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm0.1+20190728gitc40fb22-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie0.1+20190728gitc40fb22-3amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid0.1+20190728gitc40fb22-3amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Popcon: 11 users (6 upd.)*
Versions and Archs
License: DFSG free
Git

Arachne-pnr implementa il passo "posizionamento e percorsi" del processo di compilazione hardware per gli FPGA. Accetta come input una netlist technology-mapped in formato BLIF, ad esempio come quella prodotta in output dalla suite di sintesi Yosys. Attualmente ha come obiettivo la famiglia di FPGA iCE40 di Lattice Semiconductor. Il suo output è una rappresentazione testuale del flusso di bit da assemblare con il comando icepack di IceStorm. L'output di icepack è un flusso binario di bit che può essere caricato su un dispositivo hardware.

Insieme, Yosys, arachne-pnr e IceStorm forniscono una catena di strumenti Verilog-to-bistream completamente open source per lo sviluppo su FPGA iCE40 1K e 8K.

Gli autori di arachne-pnr hanno ora preparato il suo successore "nextpnr".

covered
strumento di analisi della copertura del codice per Verilog
Versions of package covered
ReleaseVersionArchitectures
jessie0.7.10-2amd64,armel,armhf,i386
sid0.7.10-4amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bullseye0.7.10-3.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster0.7.10-3amd64,arm64,armhf,i386
stretch0.7.10-3amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
Debtags of package covered:
fieldelectronics
interfacecommandline, x11
roleprogram
uitoolkittk
useviewing
x11application
Popcon: 9 users (0 upd.)*
Versions and Archs
License: DFSG free
Git

Covered è un'utilità per misurare la copertura del codice per Verilog che legge un progetto Verilog e un file di dump VCD/LXT generato a partire da esso e produce un file di copertura che può essere unificato con altri o usato per creare un rapporto sulla copertura del codice. Covered contiene anche l'utilità GUI per rapporti sulla copertura del codice che legge un file di copertura per permettere l'analisi interattiva della copertura. Le aree di copertura misurate da Covered sono: riga, toggle, memoria, logica combinatoria, transizione da stato a stato per gli ASF e copertura delle asserzioni.

drawtiming
strumento di documentazione per progetti hardware con diagrammi temporali
Versions of package drawtiming
ReleaseVersionArchitectures
stretch0.7.1-6amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster0.7.1-7amd64,arm64,armhf,i386
bullseye0.7.1-7amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie0.7.1-10amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid0.7.1-10amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
jessie0.7.1-6amd64,armel,armhf,i386
Debtags of package drawtiming:
fieldelectronics
interfacecommandline
roleprogram
scopeutility
useediting
works-withimage
Popcon: 8 users (7 upd.)*
Versions and Archs
License: DFSG free
Git

Drawtiming è uno strumento da riga di comando per documentare progetti hardware con diagrammi di temporizzazione. Prende descrizioni testuali dei segnali e produce immagini di diagrammi temporali in molti formati possibili.

ghdl
compilatore e simulatore di VHDL
Versions of package ghdl
ReleaseVersionArchitectures
sid2.0.0+dfsg-6.2arm64
sid3.0.0+dfsg2-1amd64,i386,mips64el,ppc64el
sid3.0.0+dfsg-1armel
buster0.35+git20181129+dfsg-3amd64,arm64,armhf,i386
bullseye1.0.0+dfsg-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm2.0.0+dfsg-6.2amd64,arm64,armel,i386,mips64el,mipsel,ppc64el
upstream4.1.0
Debtags of package ghdl:
develcompiler
fieldelectronics
hardwareemulation
interfacecommandline
roleprogram
scopeutility
works-withsoftware:source
Popcon: 1 users (0 upd.)*
Newer upstream!
License: DFSG free
Git

GHDL è un compilatore e simulatore per VHDL, un linguaggio di descrizione di hardware. GHDL non è un interprete: permette di analizzare ed elaborare sorgenti per generare codice macchina dal proprio progetto. L'esecuzione di programmi nativi è l'unica via per avere simulazione ad alta velocità.

GHDL offre tre backend per generazione di codice macchina: uno basato su GCC, uno che usa la suite di compilazione LLVM e uno specifico per GHDL chiamato mcode. Sono disponibili, rispettivamente, nei pacchetti ghdl-gcc, ghdl-llvm e ghdl-mcode. Entrambi i backend GCC e LLVM creano codice altamente ottimizzato per prestazioni di simulazione eccellenti, mentre le simulazioni compilate con il backend GCC permettono anche il test della copertura di codice usando gcov. Il backend mcode crea codice con prestazioni minori, ma ciò è compensato da una compilazione molto più veloce. È perciò preferibile per progetti più piccoli senza simulazioni grandi o che durano a lungo.

È possibile installare più backend simultaneamente e selezionarli o invocando direttamente il GHDL desiderato (come ghdl-gcc, ghdl-llvm o ghdl-mcode) oppure fornendo una variabile d'ambiente GHDL_BACKEND (contenente gcc, llvm o mcode) quando si invoca ghdl.

Questo è un pacchetto di dipendenze che assicura che sia installato almeno un backend.

gtkwave
visualizzatore per file di forma d'onda VCD (Value Change Dump)
Versions of package gtkwave
ReleaseVersionArchitectures
bullseye-proposed-updates3.3.104+really3.3.118-0+deb11u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye-security3.3.104+really3.3.118-0+deb11u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye3.3.104-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster-security3.3.98+really3.3.118-0+deb10u1amd64,arm64,armhf,i386
buster3.3.98-1amd64,arm64,armhf,i386
stretch3.3.79-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
jessie3.3.62-1amd64,armel,armhf,i386
bookworm-proposed-updates3.3.118-0.1~deb12u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie3.3.118-0.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid3.3.118-0.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bookworm-security3.3.118-0.1~deb12u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm3.3.114-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
upstream3.3.119
Debtags of package gtkwave:
fieldelectronics
hardwareemulation
interfacex11
roleprogram
scopeutility
uitoolkitgtk
uselearning, viewing
x11application
Popcon: 93 users (180 upd.)*
Newer upstream!
License: DFSG free
Git

gtkwave è un visualizzatore per file VCD (Value Change Dump) che sono solitamente creati da simulatori di circuiti digitali. Questi file non hanno nulla a che vedere con i Video CD!

Screenshots of package gtkwave
irsim
simulatore a livello di interruttore
Versions of package irsim
ReleaseVersionArchitectures
stretch9.7.93-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
jessie9.7.87-1amd64,armel,armhf,i386
buster9.7.101-1amd64,arm64,armhf,i386
bullseye9.7.104-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid9.7.104-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
upstream9.7.118
Debtags of package irsim:
fieldelectronics
roleprogram
usesimulating
Popcon: 10 users (0 upd.)*
Newer upstream!
License: DFSG free
Git

IRSIM è uno strumento per simulare circuiti digitali. È un simulatore a livello di interruttore, cioè tratta i transistor come interruttori ideali. I valori di capacità estratta e di resistenza aggregata sono usati per rendere l'interruttore un pochino più realistico di quello ideale, usando le costanti di tempo RC per predire le tempistiche relative degli eventi.

iverilog
compilatore Icarus Verilog
Versions of package iverilog
ReleaseVersionArchitectures
bullseye11.0-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie12.0-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
bookworm11.0-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
jessie0.9.7-1amd64,armel,armhf,i386
buster10.2-1.1amd64,arm64,armhf,i386
stretch10.1-0.1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
sid12.0-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Debtags of package iverilog:
fieldelectronics
interfacecommandline
roleprogram
Popcon: 67 users (42 upd.)*
Versions and Archs
License: DFSG free
Git

Icarus Verilog è pensato per compilare tutti i Verilog HDL come descritto nello standard IEEE-1364. Non è ancora del tutto pronto. Al momento gestisce vari costrutti strutturali e comportamentali.

Il compilatore può usare come target una simulazione o un elenco delle connessioni (EDIF).

python3-myhdl
linguaggio per la descrizione di hardware per Python (Python 3)
Versions of package python3-myhdl
ReleaseVersionArchitectures
buster0.10-2all
sid0.11-1all
Popcon: 5 users (0 upd.)*
Versions and Archs
License: DFSG free
Git

MyHDL trasforma Python in un linguaggio per verificare e descrivere l'hardware, mettendo a disposizione degli ingegneri dell'hardware la potenza dell'ecosistema Python.

Python può poi essere utilizzato come un simulatore pilotato da eventi che usa intensamente decoratori Python per specificare cosa corrisponde a "processi" in Verilog / VHDL e ottenere in questo modo la concorrenza.

Questo pacchetto installa la libreria per Python 3.

qrouter
sbrogliatore maze multi-livello e over-the-cell
Versions of package qrouter
ReleaseVersionArchitectures
bullseye1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
jessie1.3.3-1amd64,armel,armhf,i386
stretch1.3.57-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
stretch-backports1.3.106-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster1.3.108-1amd64,arm64,armhf,i386
upstream1.4.87
Popcon: 16 users (10 upd.)*
Newer upstream!
License: DFSG free
Git

Qrouter è uno strumento per generare strati metallici e "vie" per connettere fisicamente una netlist nella tecnologia di fabbricazione VLSI. È uno sbrogliatore maze altrimenti conosciuto come "over-the-cell" o "sea-of-gates". Vale a dire che, a differenza di uno sbrogliatore channel, inizia con una descrizione delle celle standard posizionate, comunemente raggruppate alla distanza minima, e posiziona le tracce metalliche sopra le celle standard.

Qrouter usa i formati standard aperti LEF e DEF come file di input e output. Prende le definizioni delle celle da un file LEF e analizza la geometria di ogni cella per determinare i punti di contatto e gli ostacoli per le tracce. Poi legge da un file DEF le posizioni delle celle e dei piedini e la netlist, esegue lo sbroglio dettagliato e scrive in output un file DEF annotato.

simulide
semplice simulatore di circuiti elettronici in tempo reale
Maintainer: Milan Kupcevic
Versions of package simulide
ReleaseVersionArchitectures
bullseye0.1.7+dfsg-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie1.1.0.1912+dfsg-4amd64,i386
sid1.1.0.1912+dfsg-4amd64,i386
bookworm0.1.7+dfsg-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster0.1.7+dfsg-2amd64,arm64,armhf,i386
upstream1.1.0.1940
Popcon: 44 users (51 upd.)*
Newer upstream!
License: DFSG free
Git

Simulide è un simulatore di circuiti elettronici in tempo reale pensato per la sperimentazione di hobbisti e studenti con semplici circuiti elettronici di uso generale e simulazione di microcontrollori PIC, AVR e Arduino.

Screenshots of package simulide
verilator
simulatore Verilog veloce e libero
Versions of package verilator
ReleaseVersionArchitectures
buster4.010-1amd64,arm64,armhf,i386
bullseye4.038-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm5.006-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
jessie3.864-1amd64,armel,armhf,i386
stretch3.900-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
trixie5.024-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
sid5.024-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Debtags of package verilator:
fieldelectronics
interfacecommandline
roleprogram
usesimulating
Popcon: 42 users (17 upd.)*
Versions and Archs
License: DFSG free
Git

Verilator è il più veloce simulatore libero di Verilog HDL e batte molti simulatori commerciali. Compila Verilog sintetizzabile (non codice per benchtest!), più alcune dichiarazioni PSL, SystemVerilog e Synthesis in codice C++ o SystemC. È progettato per vasti progetti in cui prestazioni veloci di simulazione sono di primaria importanza ed è specialmente adatto per generare modelli eseguibili di CPU per gruppi di progettazione di software embedded.

yosys
infrastruttura per la sintesi di RTL Verilog
Versions of package yosys
ReleaseVersionArchitectures
stretch-backports0.8-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
bookworm0.23-6amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el
trixie0.33-5amd64,arm64,armel,armhf,i386,mips64el,ppc64el
sid0.33-5amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64
experimental0.33-6~exp2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64
bullseye0.9-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster-backports0.9-1~bpo10+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster0.8-1amd64,arm64,armhf,i386
stretch0.7-2+deb9u1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
upstream0.41
Popcon: 32 users (37 upd.)*
Newer upstream!
License: DFSG free
Git

Questa è un'infrastruttura per la sintesi di RTL Verilog. Attualmente ha una gestione ampia di Verilog-2005 e fornisce un insieme di base di algoritmi di sintesi per svariati domini d'applicazione.

Yosys può essere adattato per eseguire qualsiasi lavoro di sintesi combinando i passaggi esistenti (algoritmi) usando script di sintesi e aggiungendo i passaggi aggiuntivi necessari estendendo la base di codice C++ di Yosys.

Registry entries: SciCrunch 
Screenshots of package yosys
*Popularitycontest results: number of people who use this package regularly (number of people who upgraded this package recently) out of 237964