Debian Electronics Project
Summary
Digital design
Debian packages for digital design

This metapackage will install Debian packages for digital simulation and design

Description

For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:

If you discover a project which looks like a good candidate for Debian Electronics to you, or if you have prepared an unofficial Debian package, please do not hesitate to send a description of that project to the Debian Electronics mailing list

Links to other tasks

Debian Electronics Digital design packages

Official Debian packages with high relevance

arachne-pnr
outil de placement et de routage pour la famille de FPGA iCE40
Versions of package arachne-pnr
ReleaseVersionArchitectures
stretch0.1+20160813git52e69ed-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster0.1+20180909git840bdfd-1amd64,arm64,armhf,i386
bullseye0.1+20190728gitc40fb22-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm0.1+20190728gitc40fb22-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie0.1+20190728gitc40fb22-3amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid0.1+20190728gitc40fb22-3amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Popcon: 11 users (6 upd.)*
Versions and Archs
License: DFSG free
Git

Arachne-pnr implémente l'étape de placement et routage pour le processus de compilation matérielle pour les FPGA. Il accepte en entrée une netlist de correspondance technologique au format BLIF, qui est par exemple la sortie de la suite de synthèse Yosys. Il cible actuellement la famille de FPGA iCE40 de Lattice Semiconductor. Sa sortie est une représentation textuelle de séquence de bits (bitstream) pour l'assembleur par la commande icepack d'IceStorm. La sortie d'icepack est une séquence de bits binaire qui peut être envoyée vers un périphérique matériel.

Ensemble, Yosys, arachne-pnr et IceStorm fournissent une chaîne d'outils « Verilog vers séquence de bits » libre pour le développement des FPGA iCE40 1K et 8K.

Les auteurs d'arachne-pnr ont maintenant préparé son successeur « nextpnr ».

covered
outil d’analyse de couverture de code Verilog
Versions of package covered
ReleaseVersionArchitectures
jessie0.7.10-2amd64,armel,armhf,i386
sid0.7.10-4amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bullseye0.7.10-3.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster0.7.10-3amd64,arm64,armhf,i386
stretch0.7.10-3amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
Debtags of package covered:
fieldelectronics
interfacecommandline, x11
roleprogram
uitoolkittk
useviewing
x11application
Popcon: 9 users (0 upd.)*
Versions and Archs
License: DFSG free
Git

Covered est un utilitaire de couverture de code Verilog qui lit une conception Verilog et un fichier généré de vidage VCD/LXT, et crée un fichier de couverture pouvant être fusionné avec d’autres fichiers ou utilisé pour générer un rapport de couverture. Covered fournit aussi un utilitaire graphique de rapport de couverture qui lit dans un fichier de couverture pour permettre une exploration interactive de couverture. Les domaines de couverture mesurés par Covered sont la couverture de lignes, de bascules, de mémoires, de logique combinatoire, les transitions d’état à état de machine à états fines et les assertions.

drawtiming
outil pour documenter des conceptions matérielles grâce à des chronogrammes
Versions of package drawtiming
ReleaseVersionArchitectures
stretch0.7.1-6amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster0.7.1-7amd64,arm64,armhf,i386
bullseye0.7.1-7amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie0.7.1-10amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid0.7.1-10amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
jessie0.7.1-6amd64,armel,armhf,i386
Debtags of package drawtiming:
fieldelectronics
interfacecommandline
roleprogram
scopeutility
useediting
works-withimage
Popcon: 8 users (7 upd.)*
Versions and Archs
License: DFSG free
Git

Ce paquet fournit un outil en ligne de commande pour documenter les conceptions matérielles grâce à des chronogrammes. Il lit les descriptions des signaux à partir de fichiers texte et produit des chronogrammes dans de nombreux formats.

ghdl
VHDL compiler/simulator
Versions of package ghdl
ReleaseVersionArchitectures
sid2.0.0+dfsg-6.2arm64
sid3.0.0+dfsg2-1amd64,i386,mips64el,ppc64el
sid3.0.0+dfsg-1armel
buster0.35+git20181129+dfsg-3amd64,arm64,armhf,i386
bullseye1.0.0+dfsg-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm2.0.0+dfsg-6.2amd64,arm64,armel,i386,mips64el,mipsel,ppc64el
upstream4.1.0
Debtags of package ghdl:
develcompiler
fieldelectronics
hardwareemulation
interfacecommandline
roleprogram
scopeutility
works-withsoftware:source
Popcon: 1 users (0 upd.)*
Newer upstream!
License: DFSG free
Git

GHDL is a compiler and simulator for VHDL, a Hardware Description Language. GHDL is not an interpreter: it allows you to analyse and elaborate sources to generate machine code from your design. Native program execution is the only way for high speed simulation.

GHDL offers three machine code generation backends: one based on GCC, one using the LLVM compiler suite and a GHDL specific one called mcode. These are available in the ghdl-gcc, ghdl-llvm and ghdl-mcode packages respectively. Both the GCC and LLVM backends create highly optimized code for excellent simulation performance while simulations compiled with the GCC backend also allow coverage testing using gcov. The mcode backend creates less performant code but makes up for it with much faster compilation. It is therefore preferable for smaller projects without large or long running simulations.

Multiple backends can be installed at the same time and selected by either invoking the desired GHDL directly (as ghdl-gcc, ghdl-llvm or ghdl-mcode) or by providing a GHDL_BACKEND environment variable (containing gcc, llvm or mcode) while invoking ghdl.

This package is a dependency package that will make sure at least one backend is installed.

gtkwave
afficheur de fichier d'onde VCD (« Value Change Dump »)
Versions of package gtkwave
ReleaseVersionArchitectures
bullseye-proposed-updates3.3.104+really3.3.118-0+deb11u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye-security3.3.104+really3.3.118-0+deb11u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye3.3.104-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster-security3.3.98+really3.3.118-0+deb10u1amd64,arm64,armhf,i386
buster3.3.98-1amd64,arm64,armhf,i386
stretch3.3.79-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
jessie3.3.62-1amd64,armel,armhf,i386
bookworm-proposed-updates3.3.118-0.1~deb12u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie3.3.118-0.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid3.3.118-0.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bookworm-security3.3.118-0.1~deb12u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm3.3.114-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
upstream3.3.119
Debtags of package gtkwave:
fieldelectronics
hardwareemulation
interfacex11
roleprogram
scopeutility
uitoolkitgtk
uselearning, viewing
x11application
Popcon: 93 users (180 upd.)*
Newer upstream!
License: DFSG free
Git

Gtkwave est un afficheur de fichiers VCD (« Value Change Dump ») qui sont en général créés par des simulateurs de circuit numérique. (Ces fichiers n'ont aucun rapport avec les CD vidéo !)

Screenshots of package gtkwave
irsim
simulateur au niveau commutation
Versions of package irsim
ReleaseVersionArchitectures
stretch9.7.93-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
jessie9.7.87-1amd64,armel,armhf,i386
buster9.7.101-1amd64,arm64,armhf,i386
bullseye9.7.104-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid9.7.104-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
upstream9.7.118
Debtags of package irsim:
fieldelectronics
roleprogram
usesimulating
Popcon: 10 users (0 upd.)*
Newer upstream!
License: DFSG free
Git

IRSIM est un outil pour simuler des circuits binaires. C’est un simulateur au niveau commutation, c'est-à-dire qu’il traite les transistors comme des interrupteurs idéaux. Des valeurs de capacitance et de résistance série équivalente sont utilisées pour rendre le commutateur un peu plus réaliste que le modèle idéal, en utilisant des constantes de temps de circuit RC pour estimer la synchronisation relative des évènements.

iverilog
compilateur Verilog Icarus
Versions of package iverilog
ReleaseVersionArchitectures
bullseye11.0-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie12.0-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
bookworm11.0-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
jessie0.9.7-1amd64,armel,armhf,i386
buster10.2-1.1amd64,arm64,armhf,i386
stretch10.1-0.1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
sid12.0-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Debtags of package iverilog:
fieldelectronics
interfacecommandline
roleprogram
Popcon: 67 users (42 upd.)*
Versions and Archs
License: DFSG free
Git

Icarus Verilog est prévu pour compiler tout le code Verilog HDL, tel qu’il est décrit dans le standard IEEE-1364. Ce n’est pas encore entièrement réalisé. Actuellement, il gère un mélange de constructions structurelles et comportementales.

Le compilateur peut viser soit une simulation, soit une liste de liens (netlist – EDIF).

python3-myhdl
langage de description du matériel pour Python – Python 3
Versions of package python3-myhdl
ReleaseVersionArchitectures
buster0.10-2all
sid0.11-1all
Popcon: 5 users (0 upd.)*
Versions and Archs
License: DFSG free
Git

MyHDL convertit Python en un langage de description et de vérification de matériel, apportant aux architectes informatiques la puissance de l’écosystème de Python.

Python peut être utilisé comme simulateur basé sur les évènements en utilisant activement des décorateurs de Python pour préciser ce qui correspond aux « processus » dans Verilog/VHDL et par conséquent réaliser la décomposition.

Ce paquet installe la bibliothèque pour Python 3.

qrouter
Multi-level, over-the-cell maze router
Versions of package qrouter
ReleaseVersionArchitectures
bullseye1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
jessie1.3.3-1amd64,armel,armhf,i386
stretch1.3.57-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
stretch-backports1.3.106-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster1.3.108-1amd64,arm64,armhf,i386
upstream1.4.87
Popcon: 16 users (10 upd.)*
Newer upstream!
License: DFSG free
Git

Qrouter is a tool to generate metal layers and vias to physically connect together a netlist in a VLSI fabrication technology. It is a maze router, otherwise known as an "over-the-cell" router or "sea-of-gates" router. That is, unlike a channel router, it begins with a description of placed standard cells, usually packed together at minimum spacing, and places metal routes over the standard cells.

Qrouter uses the open standard LEF and DEF formats as file input and output. It takes the cell definitions from a LEF file, and analyzes the geometry for each cell to determine contact points and route obstructions. It then reads the cell placement, pin placement, and netlist from a DEF file, performs the detailed route, and writes an annotated DEF file as output.

simulide
simulateur simple de circuit électronique en temps réel
Maintainer: Milan Kupcevic
Versions of package simulide
ReleaseVersionArchitectures
bullseye0.1.7+dfsg-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie1.1.0.1912+dfsg-4amd64,i386
sid1.1.0.1912+dfsg-4amd64,i386
bookworm0.1.7+dfsg-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster0.1.7+dfsg-2amd64,arm64,armhf,i386
upstream1.1.0.1940
Popcon: 44 users (51 upd.)*
Newer upstream!
License: DFSG free
Git

Simulide est un simulateur de circuit électronique destiné à l’expérimentation par des amateurs ou des étudiants de circuits électroniques d’usage général et à la simulation de microcontrôleur PIC, AVR ou Arduino.

Screenshots of package simulide
verilator
simulateur Verilog libre et rapide
Versions of package verilator
ReleaseVersionArchitectures
buster4.010-1amd64,arm64,armhf,i386
bullseye4.038-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm5.006-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
jessie3.864-1amd64,armel,armhf,i386
stretch3.900-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
trixie5.024-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
sid5.024-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Debtags of package verilator:
fieldelectronics
interfacecommandline
roleprogram
usesimulating
Popcon: 42 users (17 upd.)*
Versions and Archs
License: DFSG free
Git

Verilator est le simulateur Verilog HDL libre le plus rapide, dépassant beaucoup de simulateurs commerciaux. Il compile du Verilog synthétisable (mais pas du code de banc d’essai !), plus quelques assertions PSL, SystemVerilog ou Synthesis dans du code C++ ou SystemC. Il est conçu pour de vastes projets où des performances rapides de simulation sont le souci principal, et il convient parfaitement pour créer des modèles d’exécutables de CPU pour des équipes concevant des logiciels embarqués.

yosys
cadriciel pour la synthèse RTL Verilog
Versions of package yosys
ReleaseVersionArchitectures
stretch-backports0.8-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
bookworm0.23-6amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el
trixie0.33-5amd64,arm64,armel,armhf,i386,mips64el,ppc64el
sid0.33-5amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64
experimental0.33-6~exp2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64
bullseye0.9-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster-backports0.9-1~bpo10+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster0.8-1amd64,arm64,armhf,i386
stretch0.7-2+deb9u1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
upstream0.41
Popcon: 32 users (37 upd.)*
Newer upstream!
License: DFSG free
Git

Il s’agit d’un cadriciel pour la synthèse RTL Verilog. Actuellement, il prend en charge de manière importante Verilog-2005 et fournit un ensemble basique d’algorithmes de synthèse pour des domaines d’applications variés.

Yosys peut être adapté pour réaliser tout travail de synthèse en combinant les passages existants (algorithmes) en utilisant des scripts de synthèse et en ajoutant des passages, selon les besoins, en étendant la base codée en C++ de yosys.

Registry entries: SciCrunch 
Screenshots of package yosys
*Popularitycontest results: number of people who use this package regularly (number of people who upgraded this package recently) out of 237964