Summary
FPGA development
Debian FPGA - udviklingspakker
Denne metapakke vil installere Debianpakker for FPGA-udvikling.
Description
For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:
If you discover a project which looks like a good candidate for Debian Electronics
to you, or if you have prepared an unofficial Debian package, please do not hesitate to
send a description of that project to the Debian Electronics mailing list
Links to other tasks
|
Debian Electronics FPGA development packages
Official Debian packages with high relevance
arachne-pnr
Sted- og ruteværktøj for iCE40-familens FPGA'er
|
Versions of package arachne-pnr |
Release | Version | Architectures |
sid | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.1+20190728gitc40fb22-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.1+20180909git840bdfd-1 | amd64,arm64,armhf,i386 |
stretch | 0.1+20160813git52e69ed-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
|
License: DFSG free
|
Arachne-pnr implementerer sted- og rutetrin til kompileringsprocessen for
udstyr til FPGA'er. Værktøjet accepterer f.eks. som inddata en
teknologioversat netliste i BLIF-format, som uddata Yosys-syntesepakken. Er
i øjeblikket målrettet Lattice Semiconductor iCE40-familien af FPGA'er.
Dets uddata er en tekst-bitstrømrepræsentation for samling af kommandoen
IceStorm icepack. Uddata for icepack er en binær bitstrøm, som kan
overføres til en udstyrsenhed.
Sammen tilbyder Yosys, arachne-pnr og IceStorm en fuld værktøjskæde
Verilog-til-bitstrøm udviklet i åben kildekode for iCE40 1K og 8K
FPGA-udvikling.
Forfatterne til arachne-pnr har nu forberedt efterfølgeren »nextpnr«.
|
|
fpga-icestorm
Værktøjer til at håndtere bitstrømformatet for Lattice iCE40 FPGA'er
|
Versions of package fpga-icestorm |
Release | Version | Architectures |
stretch | 0~20160913git266e758-3 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 0~20180904git8f61acd-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 0~20181109git9671b76-1 | amd64,arm64,armhf,i386 |
bullseye | 0~20190913git0ec00d8-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 0~20230218gitd20a5e9-1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 0~20230218gitd20a5e9-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0~20230218gitd20a5e9-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
upstream | 0.0~git20250120.68044cc |
|
License: DFSG free
|
Projekt IceStorm forsøger at dokumentere bitstrømformatet (bitstream) for Lattice iCE40 FPGA'er og tilbyde simple værktøjer for analyse og oprettelse af bitstrømfiler.
Fokus for projektet er på iCE40 LP/HX 1K/4K/8K-chip. iCE40 UltraPlus-delene er også understøttet, inklusive DSP'er, oscillatorer, RGB og SPRAM. iCE40 LM, Ultra og UltraLite-delene er endnu ikke understøttet.
Denne pakke indeholder flere værktøjer krævet for at håndtere bitstrømmen.
|
|
fpgatools
Værktøj til at programmere feltprogrammerbare adgangsarrayer
|
Versions of package fpgatools |
Release | Version | Architectures |
trixie | 0.0+201212-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0.0+201212-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 0.0+201212-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.0+201212-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.0+201212-1 | amd64,arm64,armhf,i386 |
stretch | 0.0+201212-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 0.0+201212-1 | amd64,armel,armhf,i386 |
|
License: DFSG free
|
Fpgatools er en værktøjskæde til at programmere feltprogrammerbare
adgangsarrayer (FPGA). Den eneste understøttede chip på dette tidspunkt er
xc6slx9, en billig men kraftig 45nm-generation chip med omkring 2.400
LUT'er, blokram og øgede-akkumulerede enheder.
|
|
gtkwave
Fremviser for filer i VCD-waveform (Value Change Dump)
|
Versions of package gtkwave |
Release | Version | Architectures |
jessie | 3.3.62-1 | amd64,armel,armhf,i386 |
sid | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm-security | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye-security | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armhf,i386 |
bullseye | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster-security | 3.3.98+really3.3.118-0+deb10u1 | amd64,arm64,armhf,i386 |
buster | 3.3.98-1 | amd64,arm64,armhf,i386 |
stretch | 3.3.79-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package gtkwave: |
field | electronics |
hardware | emulation |
interface | x11 |
role | program |
scope | utility |
uitoolkit | gtk |
use | learning, viewing |
x11 | application |
|
License: DFSG free
|
gtkwave er en fremviser for VCD-filer (Value Change Dump), som normalt
oprettes af digitale kredsløbssimulatorer. (Disse filer har ingen
forbindelse til video-cd'er!).
|
|
iverilog
|
Versions of package iverilog |
Release | Version | Architectures |
sid | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 0.9.7-1 | amd64,armel,armhf,i386 |
stretch | 10.1-0.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 10.2-1.1 | amd64,arm64,armhf,i386 |
bullseye | 11.0-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 11.0-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
Debtags of package iverilog: |
field | electronics |
interface | commandline |
role | program |
|
License: DFSG free
|
Icarus Verilog er lavet for at kompilere alle dele af Verilog HDL som
beskrevet i IEEE-1364-standarden. Programmet er ikke helt klart. I
øjeblikket håndteres en blanding af strukturelle og opførsel konstruktioner.
Kompileren kan være målrettet enten simulering eller netlist (EDIF).
|
|
nextpnr-ice40
FPGA-sted og rute-værktøj for Lattice iCE40
|
Versions of package nextpnr-ice40 |
Release | Version | Architectures |
sid | 0.7-1 | amd64,arm64,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 0.7-1 | amd64,arm64,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 0.4-1 | amd64,arm64,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.0~git20210102.9b96280-1 | amd64,arm64,i386,mips64el,mipsel,ppc64el,s390x |
|
License: DFSG free
|
Nextpnr er et FPGA-sted og -ruteværktøj. Dets formål er at omdanne en topologisk beskrivelse af digitalt udstyr fremstillet af et FPGA-logisk synteseværktøj som yosys til et uddybende kort af forbindelser mellem de forbudne funktionelle enheder tilgængelige indeni FPGA's struktur.
For at verificere det fuldt implementerede design for korrekt operation er højhastigheds timing-analyse af designet også understøttet.
Nextpnr-ice40 understøtter Lattice iCE40-serien for FPGA'er og bruger udstyrsbeskrivelsen chipdb fra pakken fpga-icestorm.
Denne pakke understøtter kun grænsefladen for kommandolinjen. Der er også en grafisk brugerflade i pakken nextpnr-ice40-qt.
|
|
verilator
Hurtig og fri Verilog-simulator
|
Versions of package verilator |
Release | Version | Architectures |
buster | 4.010-1 | amd64,arm64,armhf,i386 |
bullseye | 4.038-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 5.032-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 5.006-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 5.032-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 3.864-1 | amd64,armel,armhf,i386 |
stretch | 3.900-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package verilator: |
field | electronics |
interface | commandline |
role | program |
use | simulating |
|
License: DFSG free
|
Verilator er den hurtigste frie Verilog HDL-simulator, og den slår mange
kommercielle simulatorer. Den kompilerer synthesizer egnet Verilog (ikke
test-bench-kode!), samt lidt PSL, SystemVerilog- og Synthesis-påstande til
C++ eller SystemC-kode. Det er designet for store projekter, hvor hurtig
simuleringsydelse er af primær koncern, og er specielt velegnet til at
oprette kørbare modeller for CPU'er for indlejrede programdesignhold.
|
|
yosys
Ramme for Verilog RTL-syntese
|
Versions of package yosys |
Release | Version | Architectures |
buster-backports | 0.9-1~bpo10+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 0.8-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch | 0.7-2+deb9u1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
sid | 0.33-6 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64 |
experimental | 0.33-6~exp3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64 |
bookworm | 0.23-6 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el |
bullseye | 0.9-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.8-1 | amd64,arm64,armhf,i386 |
upstream | 0.44 |
|
License: DFSG free
|
Dette er en ramme for Verilog RTL-syntese. Det har i øjeblikket omfattende
Verilog-2005-understøttelse og tilbyder et grundlæggende sæt af
syntesealgoritmer for diverse programdomæner.
Yosys kan tilpasse til at udføre alle syntesejob ved at kombinere de
eksisterende gennemløb (algoritmer) via synteseskripter og tilføje
yderligere gennemløb efter behov ved at udvide yosys C++-kodebasen.
|
|
|