Summary
FPGA development
pacchetti Debian per lo sviluppo di FPGA
Questo metapacchetto installa i pacchetti Debian per lo sviluppo di FPGA.
Description
For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:
If you discover a project which looks like a good candidate for Debian Electronics
to you, or if you have prepared an unofficial Debian package, please do not hesitate to
send a description of that project to the Debian Electronics mailing list
Links to other tasks
|
Debian Electronics FPGA development packages
Official Debian packages with high relevance
arachne-pnr
strumento per posizionamento e percorsi per la famiglia di FPGA iCE40
|
Versions of package arachne-pnr |
Release | Version | Architectures |
sid | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 0.1+20190728gitc40fb22-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.1+20190728gitc40fb22-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.1+20180909git840bdfd-1 | amd64,arm64,armhf,i386 |
stretch | 0.1+20160813git52e69ed-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
|
License: DFSG free
|
Arachne-pnr implementa il passo "posizionamento e percorsi" del processo di
compilazione hardware per gli FPGA. Accetta come input una netlist
technology-mapped in formato BLIF, ad esempio come quella prodotta in
output dalla suite di sintesi Yosys. Attualmente ha come obiettivo la
famiglia di FPGA iCE40 di Lattice Semiconductor. Il suo output è una
rappresentazione testuale del flusso di bit da assemblare con il comando
icepack di IceStorm. L'output di icepack è un flusso binario di bit che può
essere caricato su un dispositivo hardware.
Insieme, Yosys, arachne-pnr e IceStorm forniscono una catena di strumenti
Verilog-to-bistream completamente open source per lo sviluppo su FPGA iCE40
1K e 8K.
Gli autori di arachne-pnr hanno ora preparato il suo successore "nextpnr".
|
|
fpga-icestorm
strumenti per gestire il formato bitstream di FPGA Lattice iCE40
|
Versions of package fpga-icestorm |
Release | Version | Architectures |
stretch | 0~20160913git266e758-3 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 0~20180904git8f61acd-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 0~20181109git9671b76-1 | amd64,arm64,armhf,i386 |
bullseye | 0~20190913git0ec00d8-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 0~20230218gitd20a5e9-1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 0~20230218gitd20a5e9-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0~20230218gitd20a5e9-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
upstream | 0.0~git20241211.7190770 |
|
License: DFSG free
|
Il progetto IceStorm mira a documentare il formato bitstream di FPGA
Lattice iCE40 e a fornire semplici strumenti per analizzare e creare file
bitstream.
Il progetto si focalizza sui chip iCE40 LP/HX 1K/4K/8K. Sono supportate
anche le parti UltraPlus di iCE40, inclusi DSP, oscillatori, RGB e SPRAM.
Le parti LM, Ultra e UltraLite di iCE40 non sono ancora supportate.
Questo pacchetto contiene diversi strumenti necessari per gestire i
bitstream.
|
|
fpgatools
strumento per programmare field-programmable gate array
|
Versions of package fpgatools |
Release | Version | Architectures |
trixie | 0.0+201212-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0.0+201212-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 0.0+201212-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.0+201212-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.0+201212-1 | amd64,arm64,armhf,i386 |
stretch | 0.0+201212-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 0.0+201212-1 | amd64,armel,armhf,i386 |
|
License: DFSG free
|
fpgatools è una toolchain per programmare FPGA (Field-Programmable Gate
Array). L'unico chip supportato al momento è xc6slx9, un chip della
generazione 45nm economico ma potente con circa 2400 LUT, blocco di RAM e
dispositivi con accumulo multiplo.
|
|
gtkwave
visualizzatore per file di forma d'onda VCD (Value Change Dump)
|
Versions of package gtkwave |
Release | Version | Architectures |
jessie | 3.3.62-1 | amd64,armel,armhf,i386 |
sid | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm-security | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye-security | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armhf,i386 |
bullseye | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster-security | 3.3.98+really3.3.118-0+deb10u1 | amd64,arm64,armhf,i386 |
buster | 3.3.98-1 | amd64,arm64,armhf,i386 |
stretch | 3.3.79-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package gtkwave: |
field | electronics |
hardware | emulation |
interface | x11 |
role | program |
scope | utility |
uitoolkit | gtk |
use | learning, viewing |
x11 | application |
|
License: DFSG free
|
gtkwave è un visualizzatore per file VCD (Value Change Dump) che sono
solitamente creati da simulatori di circuiti digitali. Questi file non
hanno nulla a che vedere con i Video CD!
|
|
iverilog
compilatore Icarus Verilog
|
Versions of package iverilog |
Release | Version | Architectures |
sid | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 0.9.7-1 | amd64,armel,armhf,i386 |
stretch | 10.1-0.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 10.2-1.1 | amd64,arm64,armhf,i386 |
bullseye | 11.0-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 11.0-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
Debtags of package iverilog: |
field | electronics |
interface | commandline |
role | program |
|
License: DFSG free
|
Icarus Verilog è pensato per compilare tutti i Verilog HDL come descritto
nello standard IEEE-1364. Non è ancora del tutto pronto. Al momento
gestisce vari costrutti strutturali e comportamentali.
Il compilatore può usare come target una simulazione o un elenco delle
connessioni (EDIF).
|
|
nextpnr-ice40
strumento per posizionamento e percorsi per FPGA per Lattice iCE40
|
Versions of package nextpnr-ice40 |
Release | Version | Architectures |
sid | 0.7-1 | amd64,arm64,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 0.7-1 | amd64,arm64,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 0.4-1 | amd64,arm64,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.0~git20210102.9b96280-1 | amd64,arm64,i386,mips64el,mipsel,ppc64el,s390x |
|
License: DFSG free
|
nextpnr è uno strumento per posizionamento e instradamento di FPGA. Il suo
scopo è di trasformare una descrizione topologica di hardware digitale
prodotta da uno strumento di sintesi logica FPGA, come yosys, in una mappa
elaborata di connessioni tra unità funzionali fisse disponibili all'interno
della struttura di FPGA.
Per verificare il corretto funzionamento ad alta velocità del progetto
completamente implementato, è anche supportata l'analisi temporale del
progetto.
nextpnr-ice40 supporta la serie Lattice iCE40 di FPGA e utilizza il chipdb
di descrizioni hardware dal pacchetto fpga-icestorm.
Questo pacchetto supporta solo l'interfaccia a riga di comando; esiste
anche una versione GUI nel pacchetto nextpnr-ice40-qt.
|
|
verilator
simulatore Verilog veloce e libero
|
Versions of package verilator |
Release | Version | Architectures |
buster | 4.010-1 | amd64,arm64,armhf,i386 |
bullseye | 4.038-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 5.030-4 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 5.006-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 5.032-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 3.864-1 | amd64,armel,armhf,i386 |
stretch | 3.900-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package verilator: |
field | electronics |
interface | commandline |
role | program |
use | simulating |
|
License: DFSG free
|
Verilator è il più veloce simulatore libero di Verilog HDL e batte molti
simulatori commerciali. Compila Verilog sintetizzabile (non codice per
benchtest!), più alcune dichiarazioni PSL, SystemVerilog e Synthesis in
codice C++ o SystemC. È progettato per vasti progetti in cui prestazioni
veloci di simulazione sono di primaria importanza ed è specialmente adatto
per generare modelli eseguibili di CPU per gruppi di progettazione di
software embedded.
|
|
yosys
infrastruttura per la sintesi di RTL Verilog
|
Versions of package yosys |
Release | Version | Architectures |
buster-backports | 0.9-1~bpo10+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 0.8-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch | 0.7-2+deb9u1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
sid | 0.33-6 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64 |
experimental | 0.33-6~exp3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64 |
bookworm | 0.23-6 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el |
bullseye | 0.9-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.8-1 | amd64,arm64,armhf,i386 |
upstream | 0.44 |
|
License: DFSG free
|
Questa è un'infrastruttura per la sintesi di RTL Verilog. Attualmente ha una
gestione ampia di Verilog-2005 e fornisce un insieme di base di algoritmi
di sintesi per svariati domini d'applicazione.
Yosys può essere adattato per eseguire qualsiasi lavoro di sintesi
combinando i passaggi esistenti (algoritmi) usando script di sintesi e
aggiungendo i passaggi aggiuntivi necessari estendendo la base di codice C++
di Yosys.
|
|
|