Summary
Simulation
paquets de Debian pour la simulation des circuits électroniques
Ce métapaquet installe les paquets de Debian pour la simulation des circuits électroniques.
Description
For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:
If you discover a project which looks like a good candidate for Debian Electronics
to you, or if you have prepared an unofficial Debian package, please do not hesitate to
send a description of that project to the Debian Electronics mailing list
Links to other tasks
|
Debian Electronics Simulation packages
Official Debian packages with high relevance
ghdl
compilateur/simulateur VHDL
|
Versions of package ghdl |
Release | Version | Architectures |
bullseye | 1.0.0+dfsg-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 4.1.0+dfsg-4 | amd64,arm64,armel,ppc64el |
trixie | 4.1.0+dfsg-4 | amd64,arm64,armel,ppc64el |
buster | 0.35+git20181129+dfsg-3 | amd64,arm64,armhf,i386 |
bookworm | 2.0.0+dfsg-6.2 | amd64,arm64,armel,i386,mips64el,mipsel,ppc64el |
Debtags of package ghdl: |
devel | compiler |
field | electronics |
hardware | emulation |
interface | commandline |
role | program |
scope | utility |
works-with | software:source |
|
License: DFSG free
|
GHDL est un compilateur et un simulateur pour VHDL, un langage de description de
matériel. Ce n’est pas un interpréteur. Il permet d’analyser et d’élaborer des
sources pour générer du code machine à partir de leur conception. L’exécution
native de programme est la seule façon pour une simulation à haute vitesse.
GHDL propose trois dorsaux de génération de code machine, un basé sur GCC, un
utilisant la suite de compilation LLVM et un spécifique à GHDL appelé mcode. Ils
sont disponibles respectivement dans les paquets ghdl-gcc, ghdl-llvm et
ghdl-mcode. Les deux dorsaux GCC et LLVM créent du code hautement optimisé pour
des performances de simulation excellentes tandis que les simulations compilées
avec le dorsal GCC permettent le test de couverture en utilisant gcov. Le dorsal
mcode crée du code moins performant mais le compense par une compilation plus
rapide. Il est donc préférable pour des projets petits, sans simulation très
grande ou de grande durée.
Plusieurs dorsaux peuvent être installés en même temps et sélectionnés soit en
invoquant le GHDL désiré directement (comme ghdl-gcc, ghdl-llvm ou ghdl-mcode)
ou en fournissant une variable d’environnement GHDL_BACKEND (contenant gcc, llvm
ou mcode) lors de l’invocation de ghdl.
Ce paquet est un paquet de dépendance pour être sûr qu’au moins un dorsal soit
installé.
|
|
gnucap
paquet d’analyse de circuit électronique de GNU — exécutable principal
|
Versions of package gnucap |
Release | Version | Architectures |
bookworm | 0.36~20171003-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 0.36~20171003-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0.36~20171003-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
experimental | 20230520-dev-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 0.36~20091207-2 | amd64,armel,armhf,i386 |
stretch | 0.36~20091207-2 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 0.36~20171003-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 0.36~20171003-1 | amd64,arm64,armhf,i386 |
bullseye | 0.36~20171003-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
Debtags of package gnucap: |
field | electronics |
interface | text-mode |
role | program |
scope | utility |
suite | gnu |
uitoolkit | ncurses |
use | checking |
|
License: DFSG free
|
Gnucap est un simulateur polyvalent de circuit électronique. Il réalise des
analyses de circuit non linéaire à courants continu et transitoire, des
analyses de Fourier et des analyses de circuit à courant alternatif
linéaires à un point de fonctionnement. Il est complètement interactif et
piloté en ligne de commande. Il peut aussi être exécuté en mode traitement
par lots ou en tant que serveur.
Ce paquet fournit l’exécutable principal et gnucap-modelgen.
|
|
gtkwave
afficheur de fichier d'onde VCD (« Value Change Dump »)
|
Versions of package gtkwave |
Release | Version | Architectures |
stretch | 3.3.79-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 3.3.62-1 | amd64,armel,armhf,i386 |
buster | 3.3.98-1 | amd64,arm64,armhf,i386 |
buster-security | 3.3.98+really3.3.118-0+deb10u1 | amd64,arm64,armhf,i386 |
bullseye | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye-security | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armhf,i386 |
bookworm | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm-security | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
Debtags of package gtkwave: |
field | electronics |
hardware | emulation |
interface | x11 |
role | program |
scope | utility |
uitoolkit | gtk |
use | learning, viewing |
x11 | application |
|
License: DFSG free
|
Gtkwave est un afficheur de fichiers VCD (« Value Change Dump ») qui sont
en général créés par des simulateurs de circuit numérique. (Ces fichiers
n'ont aucun rapport avec les CD vidéo !)
|
|
gwave
afficheur de signaux, par exemple pour les simulateurs SPICE
|
Versions of package gwave |
Release | Version | Architectures |
bullseye | 20190116-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
stretch | 20090213-6.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package gwave: |
field | electronics |
interface | text-mode, x11 |
role | program |
scope | application |
uitoolkit | gtk, ncurses |
use | viewing |
x11 | application |
|
License: DFSG free
|
Gwave est un outil pour visualiser des données analogiques telles que la
sortie de simulations de SPICE.
Gwave peut lire les fichiers « bruts » de spice2G6, spice3F5 ou ngspice, et
le format tabulaire en ASCII adapté pour GnuCAP ou des outils maison. Il
peut aussi lire plusieurs fichiers binaires ou en ASCII écrits par des
simulateurs commerciaux de type SPICE tels que hspice, tspice et nanosim.
Il prend en charge plusieurs « tableaux » (graticules) avec plusieurs
variables affichées dans chaque. Deux barres de curseur verticales sont
disponibles pour des mesures de différence de temps. Plusieurs fichiers
peuvent être chargés pour comparer les résultats de plusieurs simulations.
|
|
irsim
simulateur au niveau commutation
|
Versions of package irsim |
Release | Version | Architectures |
bullseye | 9.7.104-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
stretch | 9.7.93-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 9.7.101-1 | amd64,arm64,armhf,i386 |
sid | 9.7.104-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 9.7.104-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 9.7.87-1 | amd64,armel,armhf,i386 |
upstream | 9.7.118 |
Debtags of package irsim: |
field | electronics |
role | program |
use | simulating |
|
License: DFSG free
|
IRSIM est un outil pour simuler des circuits binaires. C’est un simulateur
au niveau commutation, c'est-à-dire qu’il traite les transistors comme des
interrupteurs idéaux. Des valeurs de capacitance et de résistance série
équivalente sont utilisées pour rendre le commutateur un peu plus réaliste
que le modèle idéal, en utilisant des constantes de temps de circuit RC
pour estimer la synchronisation relative des évènements.
|
|
iverilog
compilateur Verilog Icarus
|
Versions of package iverilog |
Release | Version | Architectures |
bookworm | 11.0-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 11.0-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 10.2-1.1 | amd64,arm64,armhf,i386 |
stretch | 10.1-0.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
sid | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
jessie | 0.9.7-1 | amd64,armel,armhf,i386 |
trixie | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
Debtags of package iverilog: |
field | electronics |
interface | commandline |
role | program |
|
License: DFSG free
|
Icarus Verilog est prévu pour compiler tout le code Verilog HDL, tel qu’il
est décrit dans le standard IEEE-1364. Ce n’est pas encore entièrement
réalisé. Actuellement, il gère un mélange de constructions structurelles et
comportementales.
Le compilateur peut viser soit une simulation, soit une liste de liens
(netlist – EDIF).
|
|
ngspice
simulateur Spice de circuit
|
Versions of package ngspice |
Release | Version | Architectures |
sid | 43+ds-1 | armel,mips64el,riscv64,s390x |
sid | 44+ds-1 | amd64,arm64,armhf,i386,ppc64el |
buster-backports-sloppy | 37+ds-1~bpo10+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el |
buster-backports | 34+ds-1~bpo10+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el |
stretch | 26-1.1 (non-free) | amd64,i386 |
jessie | 26-1.1~deb8u1 (non-free) | amd64,i386 |
bullseye | 34+ds-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
stretch-backports-sloppy | 32.2+ds-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster-backports | 33+ds-1~bpo10+1 | s390x |
buster | 30.2-1 | amd64,arm64,armhf,i386 |
bullseye-backports | 39.3+ds-1~bpo11+1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 30.2-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
bookworm | 39.3+ds-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm-backports | 43+ds-1~bpo12+1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 43+ds-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
Debtags of package ngspice: |
field | electronics |
role | program |
scope | application |
uitoolkit | ncurses |
use | simulating |
|
License: DFSG free
|
NGSpice est un simulateur de circuit avec modélisation mixte pour les
niveaux et les signaux. Son code est basé sur trois paquets de logiciel au
code source ouvert : Spice3f5, Cider1b1 et Xspice. Il prend en charge les
modèles compilés Verilog-A à travers l’interface interne OSDI.
NGSpice fait partie du projet gEDA, une suite entièrement GPL d’outils pour
l’automatisation de la conception de circuits électroniques.
|
|
oregano
Outil pour la capture de schémas de circuits électriques
|
Versions of package oregano |
Release | Version | Architectures |
sid | 0.84.41+dfsg.1-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
stretch | 0.70-3 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 0.70-2 | amd64,armel,armhf,i386 |
bookworm | 0.84.41+dfsg.1-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.84.41+dfsg.1-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.84.41+dfsg.1-1 | amd64,arm64,armhf,i386 |
Debtags of package oregano: |
field | electronics |
role | program |
suite | gnome |
uitoolkit | gtk |
|
License: DFSG free
|
Oregano est une application GNOME pour la capture des schémas et
l'affichage des circuits électroniques. Il peut aussi simuler les circuits
en utilisant Gnucap, ng-spice ou Berkeley spice.
|
|
simulide
simulateur simple de circuit électronique en temps réel
|
Versions of package simulide |
Release | Version | Architectures |
bullseye | 0.1.7+dfsg-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.1.7+dfsg-2 | amd64,arm64,armhf,i386 |
bookworm | 0.1.7+dfsg-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 1.1.0.1912+dfsg-4 | amd64,i386 |
trixie | 1.1.0.1912+dfsg-4 | amd64,i386 |
upstream | 1.1.0.2023 |
|
License: DFSG free
|
Simulide est un simulateur de circuit électronique destiné à
l’expérimentation par des amateurs ou des étudiants de circuits
électroniques d’usage général et à la simulation de microcontrôleur PIC, AVR
ou Arduino.
|
|
tkgate
Tcl/Tk based digital circuit editor and simulator
|
Versions of package tkgate |
Release | Version | Architectures |
bullseye | 2.1+repack-4 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
jessie | 2.0~b10-4 | amd64,armel,armhf,i386 |
sid | 2.1+repack-7 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 2.1+repack-7 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 2.1+repack-5 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
stretch | 2.0~b10-5 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 2.1+repack-3 | amd64,arm64,armhf,i386 |
Debtags of package tkgate: |
field | electronics |
hardware | emulation |
interface | x11 |
role | program |
scope | application |
uitoolkit | tk |
x11 | application |
|
License: DFSG free
|
TkGate is a digital circuit editor and simulator with a Tcl/Tk based
interface. TkGate includes a large number of built-in devices including basic
gates, memories, ttys and modules for hierarchical design. The simulator can
be controlled either interactively or through a simulation script. Memory
contents can be loaded from files, and a microcode/macrocode compiler (gmac)
is included to create tkgate memory files from a high-level description. The
simulator supports continuous simulation, single step simulation (by clock or
epoch) and breakpoints. Save files are in a Verilog-like format.
TkGate also includes a number of tutorial and example circuits which can be
loaded through the "Help" menu. The examples range from a simple gate-level
3-bit adder to a 16-bit CPU programmed to play the "Animals" game.
TkGate has a multi-language interface with support for English, Japanese,
French and Spanish.
|
|
verilator
fast free Verilog simulator
|
Versions of package verilator |
Release | Version | Architectures |
bookworm | 5.006-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 4.010-1 | amd64,arm64,armhf,i386 |
stretch | 3.900-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 3.864-1 | amd64,armel,armhf,i386 |
sid | 5.032-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 5.030-4 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bullseye | 4.038-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
Debtags of package verilator: |
field | electronics |
interface | commandline |
role | program |
use | simulating |
|
License: DFSG free
|
Verilator is the fastest free Verilog HDL simulator, and beats many commercial
simulators. It compiles synthesizable Verilog (not test-bench code!), plus
some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code.
It is designed for large projects where fast simulation performance is of
primary concern, and is especially well suited to generate executable models
of CPUs for embedded software design teams.
|
|
Official Debian packages with lower relevance
freehdl
simulateur VHDL pour Linux
|
Versions of package freehdl |
Release | Version | Architectures |
buster | 0.0.8-2.2 | amd64,arm64,armhf,i386 |
jessie | 0.0.8-2.1 | amd64,armel,armhf,i386 |
stretch | 0.0.8-2.2 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package freehdl: |
field | electronics |
hardware | emulation |
interface | commandline |
role | program |
scope | utility |
use | analysing, learning |
|
License: DFSG free
|
Freehdl est un simulateur VHDL libre comprenant les fonctionnalités
suivantes :
- il dispose d'un visualiseur graphique d'ondulation ;
- il dispose d'un débogueur de source ;
- il est conforme vis-à-vis de VHDL-93.
|
|
gplcver
|
Versions of package gplcver |
Release | Version | Architectures |
trixie | 2.12a-3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
buster | 2.12a-1.1 | amd64,arm64,armhf,i386 |
jessie | 2.12a-1.1 | amd64,armel,armhf,i386 |
stretch | 2.12a-1.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
bullseye | 2.12a-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 2.12a-3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
Debtags of package gplcver: |
devel | interpreter |
field | electronics |
role | program |
use | simulating |
|
License: DFSG free
|
Cver is a full 1995 IEEE P1364 standard Verilog simulator. It also
implements some of the 2001 P1364 standard features. All three
PLI interfaces (tf_, acc_, and vpi_) are implemented as defined
in the IEEE 2001 P1364 LRM.
|
|
python3-gnucap
Python 3 bindings for the GNU Circuit Analysis Package
|
Versions of package python3-gnucap |
Release | Version | Architectures |
sid | 0.0.2-1.2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bullseye | 0.0.2-1.2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.0.2-1.1 | amd64,arm64,armhf,i386 |
bookworm | 0.0.2-1.2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
|
License: DFSG free
|
This package contains Python bindings for the GNU Circuit Analysis Package.
Gnucap is a general purpose circuit simulator. It performs nonlinear
dc and transient analyses, Fourier analysis, and ac analysis
linearized at an operating point. It is fully interactive and
command driven. It can also be run in batch mode or as a server.
|
|
Debian packages in contrib or non-free
easyspice
Graphical frontend to the Spice simulator
|
Versions of package easyspice |
Release | Version | Architectures |
stretch | 0.6.8-2.1 (contrib) | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 0.6.8-2.1 (contrib) | amd64,armel,armhf,i386 |
buster | 0.6.8-3 (contrib) | amd64,arm64,armhf,i386 |
Debtags of package easyspice: |
field | electronics |
role | program |
uitoolkit | gtk |
use | simulating |
|
License: DFSG free, but needs non-free components
|
Easyspice is a graphical frontend for the electrical circuit simulator
Spice. It is by default connected to the geda package and ngspice but
can be used as a frontend for other spice simulators programs as well.
|
|