Debian Electronics Project
Summary
ASIC development
Debian ASIC - udviklingspakker

Denne metapakke vil installere Debianpakker til ASIC-udvikling.

Description

For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:

If you discover a project which looks like a good candidate for Debian Electronics to you, or if you have prepared an unofficial Debian package, please do not hesitate to send a description of that project to the Debian Electronics mailing list

Links to other tasks

Debian Electronics ASIC development packages

Official Debian packages with high relevance

electric
elektrisk CAD-system
Versions of package electric
ReleaseVersionArchitectures
bookworm9.07+dfsg-7all
buster9.07+dfsg-5all
stretch9.07+dfsg-1all
jessie9.05+dfsg-1all
sid9.07+dfsg-7all
trixie9.07+dfsg-7all
bullseye9.07+dfsg-6all
Debtags of package electric:
fieldelectronics
interfacex11
roleprogram
scopeapplication
suitegnu
uitoolkitmotif
useediting, learning
x11application
Popcon: 24 users (17 upd.)*
Versions and Archs
License: DFSG free
Git

Electric er et sofistikeret elektrisk CAD-system, som kan håndtere mange former for kredsløbsdesign, inklusive tilpasset IC-layout (ASIC'er), skematisk tegning, sprogspecifikationer for beskrivelse af maskinel og elektro-mekanisk hybrid layout.

Screenshots of package electric
gtkwave
Fremviser for filer i VCD-waveform (Value Change Dump)
Versions of package gtkwave
ReleaseVersionArchitectures
stretch3.3.79-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
sid3.3.118-0.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
trixie3.3.118-0.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
bookworm-proposed-updates3.3.118-0.1~deb12u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm-security3.3.118-0.1~deb12u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm3.3.114-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye-proposed-updates3.3.104+really3.3.118-0+deb11u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye-security3.3.104+really3.3.118-0+deb11u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye3.3.104-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster-security3.3.98+really3.3.118-0+deb10u1amd64,arm64,armhf,i386
buster3.3.98-1amd64,arm64,armhf,i386
jessie3.3.62-1amd64,armel,armhf,i386
upstream3.3.119
Debtags of package gtkwave:
fieldelectronics
hardwareemulation
interfacex11
roleprogram
scopeutility
uitoolkitgtk
uselearning, viewing
x11application
Popcon: 93 users (180 upd.)*
Newer upstream!
License: DFSG free
Git

gtkwave er en fremviser for VCD-filer (Value Change Dump), som normalt oprettes af digitale kredsløbssimulatorer. (Disse filer har ingen forbindelse til video-cd'er!).

Screenshots of package gtkwave
gwave
Fremviser til bølgeformer, eksempelvis til SPICE-simulationer (vedrører elektroniske kredsløb)
Versions of package gwave
ReleaseVersionArchitectures
bullseye20190116-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
stretch20090213-6.1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
Debtags of package gwave:
fieldelectronics
interfacetext-mode, x11
roleprogram
scopeapplication
uitoolkitgtk, ncurses
useviewing
x11application
Popcon: 14 users (1 upd.)*
Versions and Archs
License: DFSG free
Git

Gwave er et værktøj til visning af analoge data såsom uddata for Spice-simulationer.

Gwave kan læse rå (raw) filer fra spice2G6, spice3F5 eller ngspice og et tabulært ASCII-format egnet for brug med GnuCAP eller hjemmeudviklede værktøjer. Værktøjet kan også læse flere binære og ascii-filer skrevet af kommercielle spice-type simulatorer såsom hspice, tspice og nanosim.

Værktøjet understøtter flere »paneler« (linjekort) med flere variabler vist i hver. To lodrette markørbjælker er tilgængelige for målinger af tidsforskel. Flere filer kan indlæses for sammenligning af resultater for flere simulationer.

iverilog
Icarus Verilog-kompiler
Versions of package iverilog
ReleaseVersionArchitectures
jessie0.9.7-1amd64,armel,armhf,i386
bullseye11.0-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster10.2-1.1amd64,arm64,armhf,i386
stretch10.1-0.1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
trixie12.0-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid12.0-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bookworm11.0-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
Debtags of package iverilog:
fieldelectronics
interfacecommandline
roleprogram
Popcon: 67 users (42 upd.)*
Versions and Archs
License: DFSG free
Git

Icarus Verilog er lavet for at kompilere alle dele af Verilog HDL som beskrevet i IEEE-1364-standarden. Programmet er ikke helt klart. I øjeblikket håndteres en blanding af strukturelle og opførsel konstruktioner.

Kompileren kan være målrettet enten simulering eller netlist (EDIF).

klayout
Højt ydende layout-fremviser og redigeringsprogram
Versions of package klayout
ReleaseVersionArchitectures
bullseye0.26.2-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid0.29.1-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
trixie0.29.1-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bookworm0.28.5-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
Popcon: 12 users (11 upd.)*
Versions and Archs
License: DFSG free
Git

Dette er en meget god fremviser for GDSII og andre layout-filer brugt i halvleder-industrien.

Svarer til »magic«, men har en meget mere moderne grafisk brugerflade og den er meget mere robust til at håndtere alle slags GDSII-filer oprettet af diverse andre værktøjer. Dets fokus er mere på fremvisning end redigering, men har også begrænset, men udvidende, understøttelse for DRC og udtrækning for LVS.

magic
VLSI-layoutværktøj
Versions of package magic
ReleaseVersionArchitectures
trixie8.3.105+ds.1-1.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
stretch8.0.210-2amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
stretch-backports8.1.218+ds.1-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster8.1.223+ds.1-1amd64,arm64,armhf,i386
bullseye8.3.105+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm8.3.105+ds.1-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid8.3.105+ds.1-1.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
jessie7.5.241-1amd64,armel,armhf,i386
upstream8.3.483
Debtags of package magic:
fieldelectronics
roleprogram
Popcon: 23 users (12 upd.)*
Newer upstream!
License: DFSG free
Git

Magic er et ærværdigt VLSI-layoutværktøj, skrevet i 1980'erne på Berkeley af John Ousterhout, nu kendt primært for at skrive skriptfortolkningssproget Tcl. Hovedsagelig på grund af sin liberale Berkeleylicens, er magic forblevet populært hos universiteter og små virksomheder. Åben kildekode-licensen har gjort det muligt for VLSI-ingeniører med en smule forstand på programmering at implementere kloge ideer og hjælpe magic med at være ajour med fabrikationsteknologi. Det er dog den gennemtænkte grundalgoritme, som skylder magic den største del af sin popularitet. Magic er bredt anerkendt for at være det nemmeste værktøj for kredsløbslayout, selv for folk som til sidst afhænger af kommercielle værktøjer til deres designforløb for produkter.

Screenshots of package magic
netgen-lvs
Netlist-sammenligning - Layout vs Schematic (LVS)
Versions of package netgen-lvs
ReleaseVersionArchitectures
sid1.5.133-1.2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
trixie1.5.133-1.2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
bookworm1.5.133-1.2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye1.5.133-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster1.5.118-1amd64,arm64,armhf,i386
upstream1.5.274
Popcon: 15 users (10 upd.)*
Newer upstream!
License: DFSG free
Git

Netgen er et værktøj til at sammenligne netlister, en proces kendt som LVS, der står for »Layout vs. Schematic«. Dette er et vigtigt trin i det integrerede kredsløbsdesignforløb, der sikrer at geometrien opsat matcher det forventede kredsløb.

Meget små kredsløb kan omgå dette trin ved at bekræfte kredsløbsoperation via udtrækning og simulering. Meget store digitale kredsløb er normalt oprettet af værktøjer fra beskrivelser på højt niveau, via kompilere der sikrer det korrekte layoutgeometri. Det største behov for LVS er i store analoge eller blandet-signal kredsløb, der ikke kan simuleres indenfor en fornuftig tid.

Bemærk at det kørbare navn i Debian er »netgen-lvs«. For detaljer, se /usr/share/doc/netgen-lvs/README.Debian

opensta
Statisk timinganalyseprogram på Gate-niveau
Versions of package opensta
ReleaseVersionArchitectures
sid0~20191111gitc018cb2+dfsg-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
bullseye0~20191111gitc018cb2+dfsg-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm0~20191111gitc018cb2+dfsg-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
upstream0.0~git20240509.ee8d3d0
Popcon: 15 users (11 upd.)*
Newer upstream!
License: DFSG free
Git

Efter syntese, sted og rute for et digitalt kredsløb, er det nødvendigt at verificere timingen for designet. OpenSTA er et værktøj for præcis det. Det har en Tcl-grænseflade til at indtaste kommandoer for analyse af design.

Bruger typisk som inddata en verilog-netliste, en liberty-fil og andre parasitics-information fra de placerede og omdirigerede design.

qrouter
Over-the-celle labyrintruter for flere niveauer
Versions of package qrouter
ReleaseVersionArchitectures
bullseye1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
stretch-backports1.3.106-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster1.3.108-1amd64,arm64,armhf,i386
stretch1.3.57-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
bookworm1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
jessie1.3.3-1amd64,armel,armhf,i386
upstream1.4.87
Popcon: 16 users (10 upd.)*
Newer upstream!
License: DFSG free
Git

Qrouter er et værktøj til at oprette metallag og viadukter til fysisk at forbinde en netliste i en VLSI-fabrikationsteknologi. Det er en labyrintruter, ellers kendt under navnet »over-the-cell«-ruter eller »sea-of-gates«-ruter. Det vil sige til forskel fra en kanalruter, så begynder den med en beskrivelse af placerede standardceller, normalt pakket sammen med minimal mellemrum og placerer så metalruter over standardcellerne.

Qrouter bruger de åbne standardformater LEF og DEF som filinddata og uddata. Værktøjet bruger celledefinitionerne fra en LEF-fil og analyserer geometrien for hver celle for at bestemme kontaktpunkter og ruteobstruktioner. Det læser så celleplaceringen, pin-placering og netliste fra en DEF-fil, udfører den detaljerede rute og skriver en annoteret DEF-fil som uddata.

verilator
Hurtig og fri Verilog-simulator
Versions of package verilator
ReleaseVersionArchitectures
sid5.024-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bookworm5.006-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye4.038-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster4.010-1amd64,arm64,armhf,i386
stretch3.900-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
jessie3.864-1amd64,armel,armhf,i386
trixie5.024-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Debtags of package verilator:
fieldelectronics
interfacecommandline
roleprogram
usesimulating
Popcon: 42 users (17 upd.)*
Versions and Archs
License: DFSG free
Git

Verilator er den hurtigste frie Verilog HDL-simulator, og den slår mange kommercielle simulatorer. Den kompilerer synthesizer egnet Verilog (ikke test-bench-kode!), samt lidt PSL, SystemVerilog- og Synthesis-påstande til C++ eller SystemC-kode. Det er designet for store projekter, hvor hurtig simuleringsydelse er af primær koncern, og er specielt velegnet til at oprette kørbare modeller for CPU'er for indlejrede programdesignhold.

yosys
Ramme for Verilog RTL-syntese
Versions of package yosys
ReleaseVersionArchitectures
sid0.33-5amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64
experimental0.33-6~exp2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64
buster-backports0.9-1~bpo10+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
bullseye0.9-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
stretch0.7-2+deb9u1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
stretch-backports0.8-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster0.8-1amd64,arm64,armhf,i386
bookworm0.23-6amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el
trixie0.33-5amd64,arm64,armel,armhf,i386,mips64el,ppc64el
upstream0.41
Popcon: 32 users (37 upd.)*
Newer upstream!
License: DFSG free
Git

Dette er en ramme for Verilog RTL-syntese. Det har i øjeblikket omfattende Verilog-2005-understøttelse og tilbyder et grundlæggende sæt af syntesealgoritmer for diverse programdomæner.

Yosys kan tilpasse til at udføre alle syntesejob ved at kombinere de eksisterende gennemløb (algoritmer) via synteseskripter og tilføje yderligere gennemløb efter behov ved at udvide yosys C++-kodebasen.

Registry entries: SciCrunch 
Screenshots of package yosys

Official Debian packages with lower relevance

alliance
VLSI-værktøjer til computerassisteret design
Versions of package alliance
ReleaseVersionArchitectures
jessie5.0-20120515-6amd64,armel,armhf,i386
buster5.1.1-3amd64,arm64,armhf,i386
stretch5.1.1-1.1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
Debtags of package alliance:
fieldelectronics
roleprogram
Popcon: 1 users (1 upd.)*
Versions and Archs
License: DFSG free

Alliance er et komplet sæt af frie CAD-værktøjer (værktøjer til computerassisteret design) og flytbare biblioteker til VLSI-design. Det inkluderer en VHDL-kompiler og -simulator, værktøjer til logisk syntese, samt værktøjer til automatiske placeringer og ruter.

Der leveres et komplet sæt af flytbare CMOS-biblioteker, inklusive en RAM-generator, en ROM-generator og en datasti-kompiler.

Alliance er resultatet af en indsats på over mere end ti år, der er lagt i ASIM-afdelingen på LIP6-laboratoriet ved universitetet Pierre et Marie Curie (Paris VI, Frankrig).

Alliance er blevet brugt til forskningsprojekter såsom den superskalare mikroprocessor med 875.000 transistorer, StaCS og ruteren IEEE Gigabit HSL Router med 400.000 transistorer.

Alliance tilbyder CAD-værktøjer som dækker de fleste arbejdsgange inden for digitalt design:

  • VHDL-kompilering og -simulering
  • Modeltjek og formel bevisførelse
  • RTL- og Logik-syntese
  • Datasti-kompilering
  • Oprettelse af makroceller
  • Placering og rute
  • Redigering af layout
  • Udtræk og verifikation af Netlist
  • Tjek af designregler
gdsiiconvert
Konverter GDSII-geometrier og rapportstatistik for geometri
Versions of package gdsiiconvert
ReleaseVersionArchitectures
buster0.1+ds.1-1amd64,arm64,armhf,i386
bullseye0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
bookworm0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Popcon: 5 users (2 upd.)*
Versions and Archs
License: DFSG free
Git

Kommandolinjeværktøjet kan bruges til at rapportere statistik om GDSII-geometrier og eksportere dem til andre filformater, specielt inklusive GMSH-geometriformatet.

Det er »eksempelprogrammet« for libGDSII, der er C++-biblioteket for arbejde med GDSOII-binære datafiler.

Denne pakke indeholder også eksempler på GDSII-filer.

libgdsii-dev
Bibliotek for GDSII-håndtering - udviklingsfiler
Versions of package libgdsii-dev
ReleaseVersionArchitectures
buster0.1+ds.1-1amd64,arm64,armhf,i386
bullseye0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Popcon: 2 users (3 upd.)*
Versions and Archs
License: DFSG free
Git

Dette er et C++-bibliotek til arbejdet med GDSII-binære datafiler, lavet primært for brug med de beregningsmæssige elektromagnetiske koders scuff-em og meep, men tilstrækkelig alment så kan også bruges til andre formål.

Denne pakke indeholder udviklingsfilerne for biblioteket.

python3-gdspy
Pythonbibliotek for GDSII-håndtering - Python 3
Maintainer: Ruben Undheim
Versions of package python3-gdspy
ReleaseVersionArchitectures
bookworm1.4.2-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye1.4.2-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid1.4.2-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
buster1.3.1-3amd64,arm64,armhf,i386
trixie1.4.2-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
upstream1.6.13
Popcon: 1 users (1 upd.)*
Newer upstream!
License: DFSG free
Git

Gdspy er et Pythonmodul til at oprette/importere/sammenføje GDSII-strømfiler. Det indeholder nøglebiblioteker til at oprette komplekse CAD-layout.

Funktioner:

  • Booleske operationer på polygoner (AND, OR, NOT, XOR) baseret på clipping-algoritmen
  • Polygonforskydning (indad og udad skalering af polygoner)
  • Effektive punkt i polygon-løsninger for store tabelsæt

Denne pakke installerer biblioteket for Python 3.

Packaging has started and developers might try the packaging code in VCS

coriolis
Open-Source Digital Synthesis Flow
Versions of package coriolis
ReleaseVersionArchitectures
VCS2.0.1+20190311git435b647-1all
Versions and Archs
License: GPL-3+
Debian package not available
Git
Version: 2.0.1+20190311git435b647-1

Coriolis is a set of tools for VLSI backend flow.

It's main features are:

  • An analytic placer Etesian (based on Coloquinte).
  • A router Katana for digital designs. An extension toward mixed design is currently under development.
  • Python fast prototyping capabilities and layout procedural description.
*Popularitycontest results: number of people who use this package regularly (number of people who upgraded this package recently) out of 237964