Debian Electronics Project
Summary
ASIC development
pacchetti Debian per lo sviluppo di ASIC

Questo metapacchetto installa i pacchetti Debian per lo sviluppo di ASIC.

Description

For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:

If you discover a project which looks like a good candidate for Debian Electronics to you, or if you have prepared an unofficial Debian package, please do not hesitate to send a description of that project to the Debian Electronics mailing list

Links to other tasks

Debian Electronics ASIC development packages

Official Debian packages with high relevance

electric
sistema CAD per disegno elettrico
Versions of package electric
ReleaseVersionArchitectures
bookworm9.07+dfsg-7all
buster9.07+dfsg-5all
stretch9.07+dfsg-1all
jessie9.05+dfsg-1all
sid9.07+dfsg-7all
trixie9.07+dfsg-7all
bullseye9.07+dfsg-6all
Debtags of package electric:
fieldelectronics
interfacex11
roleprogram
scopeapplication
suitegnu
uitoolkitmotif
useediting, learning
x11application
Popcon: 24 users (17 upd.)*
Versions and Archs
License: DFSG free
Git

Electric è un sofisticato sistema CAD per disegno elettrico che può gestire molte forme di progettazione dei circuiti, inclusi layout IC personalizzati (ASIC), disegni di schemi, specifiche del linguaggio di descrizione dell'hardware e layout ibridi elettro-meccanici.

Screenshots of package electric
gtkwave
visualizzatore per file di forma d'onda VCD (Value Change Dump)
Versions of package gtkwave
ReleaseVersionArchitectures
stretch3.3.79-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
sid3.3.118-0.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
trixie3.3.118-0.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
bookworm-proposed-updates3.3.118-0.1~deb12u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm-security3.3.118-0.1~deb12u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm3.3.114-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye-proposed-updates3.3.104+really3.3.118-0+deb11u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye-security3.3.104+really3.3.118-0+deb11u1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye3.3.104-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster-security3.3.98+really3.3.118-0+deb10u1amd64,arm64,armhf,i386
buster3.3.98-1amd64,arm64,armhf,i386
jessie3.3.62-1amd64,armel,armhf,i386
upstream3.3.119
Debtags of package gtkwave:
fieldelectronics
hardwareemulation
interfacex11
roleprogram
scopeutility
uitoolkitgtk
uselearning, viewing
x11application
Popcon: 93 users (180 upd.)*
Newer upstream!
License: DFSG free
Git

gtkwave è un visualizzatore per file VCD (Value Change Dump) che sono solitamente creati da simulatori di circuiti digitali. Questi file non hanno nulla a che vedere con i Video CD!

Screenshots of package gtkwave
gwave
visualizzatore di forme d'onda, ad esempio per simulatori spice
Versions of package gwave
ReleaseVersionArchitectures
bullseye20190116-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
stretch20090213-6.1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
Debtags of package gwave:
fieldelectronics
interfacetext-mode, x11
roleprogram
scopeapplication
uitoolkitgtk, ncurses
useviewing
x11application
Popcon: 14 users (1 upd.)*
Versions and Archs
License: DFSG free
Git

Gwave è uno strumento per visualizzare dati analogici, come l'output di simulazioni Spice.

Gwave può leggere file "raw" da spice2G6, spice3F5 o ngspice e un formato ASCII tabulare adatto per l'uso con GnuCAP o altri strumenti auto-costruiti. Può anche leggere diversi file binari e ascii scritti per simulatori stile spice commerciali, come hspice, tspice e nanosim.

Supporta "pannelli" (griglie) multiple ciascuna con visualizzate variabili multiple. Sono disponibili due cursori a barra verticali per misure di differenze temporali. Possono essere caricati file multipli per comparare i risultati di diverse simulazioni.

iverilog
compilatore Icarus Verilog
Versions of package iverilog
ReleaseVersionArchitectures
jessie0.9.7-1amd64,armel,armhf,i386
bullseye11.0-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster10.2-1.1amd64,arm64,armhf,i386
stretch10.1-0.1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
trixie12.0-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid12.0-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bookworm11.0-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
Debtags of package iverilog:
fieldelectronics
interfacecommandline
roleprogram
Popcon: 67 users (42 upd.)*
Versions and Archs
License: DFSG free
Git

Icarus Verilog è pensato per compilare tutti i Verilog HDL come descritto nello standard IEEE-1364. Non è ancora del tutto pronto. Al momento gestisce vari costrutti strutturali e comportamentali.

Il compilatore può usare come target una simulazione o un elenco delle connessioni (EDIF).

klayout
strumento ad alte prestazioni per visualizzare e modificare disposizioni
Versions of package klayout
ReleaseVersionArchitectures
bullseye0.26.2-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid0.29.1-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
trixie0.29.1-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bookworm0.28.5-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
Popcon: 12 users (11 upd.)*
Versions and Archs
License: DFSG free
Git

Visualizzatore molto buono per GDSII e altri file di disposizione usati nell'industria dei semiconduttori.

È simile a "magic", ma ha una GUI molto più moderna ed è più robusto nella gestione di tutti i tipi di file GDSII creati da vari altri strumenti. Si concentra più sulla visualizzazione che sulla modifica, ma ha anche un supporto limitato, ma in espansione, per DRC ed estrazione per LVS.

magic
strumento per tracciati VLSI
Versions of package magic
ReleaseVersionArchitectures
trixie8.3.105+ds.1-1.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
stretch8.0.210-2amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
stretch-backports8.1.218+ds.1-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster8.1.223+ds.1-1amd64,arm64,armhf,i386
bullseye8.3.105+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm8.3.105+ds.1-1.1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid8.3.105+ds.1-1.1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
jessie7.5.241-1amd64,armel,armhf,i386
upstream8.3.483
Debtags of package magic:
fieldelectronics
roleprogram
Popcon: 23 users (12 upd.)*
Newer upstream!
License: DFSG free
Git

magic è un venerabile strumento per tracciati VLSI scritto negli anni '80 a Berkeley da John Ousterhout, oggi famoso principalmente per avere creato il linguaggio di scripting interpretato Tcl. Grazie in gran parte alla licenza open-source liberale di Berkeley, magic è rimasto popolare nelle università e nelle piccole aziende. La licenza open-source ha permesso agli ingegneri di VLSI con una tendenza alla programmazione di implementare idee intelligenti e aiutare magic a rimanere alla pari con la tecnologia di fabbricazione. Tuttavia, sono gli algoritmi base ben pensati che danno a magic la maggior parte della sua popolarità. magic è ampiamente citato per essere lo strumento più facile da usare per la disposizione dei circuiti, anche per persone che alla fine si affidano a strumenti commerciali per il loro flusso di progettazione del prodotto.

Screenshots of package magic
netgen-lvs
Netlist comparison - Layout vs Schematic (LVS)
Versions of package netgen-lvs
ReleaseVersionArchitectures
sid1.5.133-1.2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
trixie1.5.133-1.2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
bookworm1.5.133-1.2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye1.5.133-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster1.5.118-1amd64,arm64,armhf,i386
upstream1.5.274
Popcon: 15 users (10 upd.)*
Newer upstream!
License: DFSG free
Git

Netgen is a tool for comparing netlists, a process known as LVS, which stands for "Layout vs. Schematic". This is an important step in the integrated circuit design flow, ensuring that the geometry that has been laid out matches the expected circuit.

Very small circuits can bypass this step by confirming circuit operation through extraction and simulation. Very large digital circuits are usually generated by tools from high-level descriptions, using compilers that ensure the correct layout geometry. The greatest need for LVS is in large analog or mixed-signal circuits that cannot be simulated in reasonable time.

Note that the executable name in Debian is 'netgen-lvs'. For details, see /usr/share/doc/netgen-lvs/README.Debian

opensta
Gate-level Static Timing Analyzer
Versions of package opensta
ReleaseVersionArchitectures
sid0~20191111gitc018cb2+dfsg-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
bullseye0~20191111gitc018cb2+dfsg-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm0~20191111gitc018cb2+dfsg-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
upstream0.0~git20240509.ee8d3d0
Popcon: 15 users (11 upd.)*
Newer upstream!
License: DFSG free
Git

After synthesis, place and route of a digital circuit, it is necessary to verify the timing of the design. OpenSTA is a tool for doing exactly that. It has a Tcl interface for entering commands for analysing designs.

It typically takes as input a verilog netlist, a liberty file, and other parasitics information from the placed and routed design.

qrouter
sbrogliatore maze multi-livello e over-the-cell
Versions of package qrouter
ReleaseVersionArchitectures
bullseye1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
stretch-backports1.3.106-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster1.3.108-1amd64,arm64,armhf,i386
stretch1.3.57-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
bookworm1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid1.4.71-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
jessie1.3.3-1amd64,armel,armhf,i386
upstream1.4.87
Popcon: 16 users (10 upd.)*
Newer upstream!
License: DFSG free
Git

Qrouter è uno strumento per generare strati metallici e "vie" per connettere fisicamente una netlist nella tecnologia di fabbricazione VLSI. È uno sbrogliatore maze altrimenti conosciuto come "over-the-cell" o "sea-of-gates". Vale a dire che, a differenza di uno sbrogliatore channel, inizia con una descrizione delle celle standard posizionate, comunemente raggruppate alla distanza minima, e posiziona le tracce metalliche sopra le celle standard.

Qrouter usa i formati standard aperti LEF e DEF come file di input e output. Prende le definizioni delle celle da un file LEF e analizza la geometria di ogni cella per determinare i punti di contatto e gli ostacoli per le tracce. Poi legge da un file DEF le posizioni delle celle e dei piedini e la netlist, esegue lo sbroglio dettagliato e scrive in output un file DEF annotato.

verilator
simulatore Verilog veloce e libero
Versions of package verilator
ReleaseVersionArchitectures
sid5.024-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
bookworm5.006-3amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye4.038-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
buster4.010-1amd64,arm64,armhf,i386
stretch3.900-1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
jessie3.864-1amd64,armel,armhf,i386
trixie5.024-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Debtags of package verilator:
fieldelectronics
interfacecommandline
roleprogram
usesimulating
Popcon: 42 users (17 upd.)*
Versions and Archs
License: DFSG free
Git

Verilator è il più veloce simulatore libero di Verilog HDL e batte molti simulatori commerciali. Compila Verilog sintetizzabile (non codice per benchtest!), più alcune dichiarazioni PSL, SystemVerilog e Synthesis in codice C++ o SystemC. È progettato per vasti progetti in cui prestazioni veloci di simulazione sono di primaria importanza ed è specialmente adatto per generare modelli eseguibili di CPU per gruppi di progettazione di software embedded.

yosys
infrastruttura per la sintesi di RTL Verilog
Versions of package yosys
ReleaseVersionArchitectures
sid0.33-5amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64
experimental0.33-6~exp2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64
buster-backports0.9-1~bpo10+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
bullseye0.9-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
stretch0.7-2+deb9u1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
stretch-backports0.8-1~bpo9+1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
buster0.8-1amd64,arm64,armhf,i386
bookworm0.23-6amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el
trixie0.33-5amd64,arm64,armel,armhf,i386,mips64el,ppc64el
upstream0.41
Popcon: 32 users (37 upd.)*
Newer upstream!
License: DFSG free
Git

Questa è un'infrastruttura per la sintesi di RTL Verilog. Attualmente ha una gestione ampia di Verilog-2005 e fornisce un insieme di base di algoritmi di sintesi per svariati domini d'applicazione.

Yosys può essere adattato per eseguire qualsiasi lavoro di sintesi combinando i passaggi esistenti (algoritmi) usando script di sintesi e aggiungendo i passaggi aggiuntivi necessari estendendo la base di codice C++ di Yosys.

Registry entries: SciCrunch 
Screenshots of package yosys

Official Debian packages with lower relevance

alliance
strumenti CAD VLSI
Versions of package alliance
ReleaseVersionArchitectures
jessie5.0-20120515-6amd64,armel,armhf,i386
buster5.1.1-3amd64,arm64,armhf,i386
stretch5.1.1-1.1amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x
Debtags of package alliance:
fieldelectronics
roleprogram
Popcon: 1 users (1 upd.)*
Versions and Archs
License: DFSG free

Alliance è un insieme completo e libero di strumenti e librerie portabili per CAD per progetti VLSI. Include un compilatore e un simulatore VHDL, strumenti di sintesi logica e strumenti automatici per posizionamento e percorsi.

È fornito un insieme completo di librerie portabili CMOS, incluso un generatore RAM, un generatore ROM e un compilatore per percorso dei dati.

Alliance è il risultato di più di dieci anni di lavoro effettuato al dipartimento ASIM del laboratorio LIPS6 dell'Università Pierre et Marie Curie (Paris IV, Francia).

Alliance è stato usato per progetti di ricerca come il microprocessore superscalare StaCS dotato di 875.000 transistor e il router IEEE Gigabit HSL dotato di 400.000 transistor.

Alliance fornisce strumenti CAD che coprono la maggior parte di tutti i flussi di disegno digitali:

  • compilazione e simulazione VHDL,
  • controllo e prova formale dei modelli,
  • RTL e sintesi logica,
  • compilazione per percorso dei dati,
  • generazione di macro-celle,
  • posizionamento e percorsi,
  • modifica della struttura,
  • estrazione e verifica delle netlist,
  • controllo delle regole di disegno.
gdsiiconvert
conversione di geometrie GDSII e rapporti su statistiche delle geometrie
Versions of package gdsiiconvert
ReleaseVersionArchitectures
buster0.1+ds.1-1amd64,arm64,armhf,i386
bullseye0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
bookworm0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Popcon: 5 users (2 upd.)*
Versions and Archs
License: DFSG free
Git

Lo strumento a riga di comando può essere usato per riportare statistiche sulle geometrie GDSII ed esportarle in altri formati incluso, in particolare, il formato per geometrie GMSH.

È "l'applicazione d'esempio" per libGDSII, che è una libreria C++ per lavorare con file dati binari GDSII.

Questo pacchetto contiene anche file GDSII d'esempio.

libgdsii-dev
Library for GDSII handling (development files)
Versions of package libgdsii-dev
ReleaseVersionArchitectures
buster0.1+ds.1-1amd64,arm64,armhf,i386
bullseye0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bookworm0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
trixie0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
sid0.2+ds.1-1amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
Popcon: 2 users (3 upd.)*
Versions and Archs
License: DFSG free
Git

This is a C++ library for working with GDSII binary data files, intended primarily for use with the computational electromagnetism codes scuff-em and meep but sufficiently general-purpose to allow other uses as well.

This package contains the development files for the library.

python3-gdspy
libreria Python per gestire GDSII (Python 3)
Maintainer: Ruben Undheim
Versions of package python3-gdspy
ReleaseVersionArchitectures
bookworm1.4.2-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
bullseye1.4.2-2amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x
sid1.4.2-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x
buster1.3.1-3amd64,arm64,armhf,i386
trixie1.4.2-2amd64,arm64,armel,armhf,i386,mips64el,ppc64el,s390x
upstream1.6.13
Popcon: 1 users (1 upd.)*
Newer upstream!
License: DFSG free
Git

Gdspy è un modulo Python per creare/importare/fondere file di flussi GDSII. Include librerie chiave per creare disegni CAD complessi.

Funzionalità:

  • operazioni booleane su poligoni (AND, OR, NOT, XOR) basate su un algoritmo di ritaglio;
  • offset di poligoni (ridimensionamento di poligoni verso l'interno e verso l'esterno);
  • soluzioni efficienti punto-in-poligono per grandi insiemi di array.

Questo pacchetto installa la libreria per Python 3.

Packaging has started and developers might try the packaging code in VCS

coriolis
Open-Source Digital Synthesis Flow
Versions of package coriolis
ReleaseVersionArchitectures
VCS2.0.1+20190311git435b647-1all
Versions and Archs
License: GPL-3+
Debian package not available
Git
Version: 2.0.1+20190311git435b647-1

Coriolis is a set of tools for VLSI backend flow.

It's main features are:

  • An analytic placer Etesian (based on Coloquinte).
  • A router Katana for digital designs. An extension toward mixed design is currently under development.
  • Python fast prototyping capabilities and layout procedural description.
*Popularitycontest results: number of people who use this package regularly (number of people who upgraded this package recently) out of 237964