Summary
ASIC development
Debian ASIC development packages
This metapackage will install Debian packages for ASIC
development
Description
For a better overview of the project's availability as a Debian package, each head row has a color code according to this scheme:
If you discover a project which looks like a good candidate for Debian Electronics
to you, or if you have prepared an unofficial Debian package, please do not hesitate to
send a description of that project to the Debian Electronics mailing list
Links to other tasks
|
Debian Electronics ASIC development packages
Official Debian packages with high relevance
electric
Système de CAO électrique
|
Versions of package electric |
Release | Version | Architectures |
jessie | 9.05+dfsg-1 | all |
bullseye | 9.07+dfsg-6 | all |
buster | 9.07+dfsg-5 | all |
stretch | 9.07+dfsg-1 | all |
sid | 9.07+dfsg-7 | all |
trixie | 9.07+dfsg-7 | all |
bookworm | 9.07+dfsg-7 | all |
Debtags of package electric: |
field | electronics |
interface | x11 |
role | program |
scope | application |
suite | gnu |
uitoolkit | motif |
use | editing, learning |
x11 | application |
|
License: DFSG free
|
Electric est un système de CAO électrique sophistiqué qui peut traiter
plusieurs formes de conception de circuits, incluant la structure des IC
personnalisés (ASIC), les dessins de schémas, un langage de spécifications
de description de matériel et une structure hybride électro-mécanique.
|
|
gtkwave
afficheur de fichier d'onde VCD (« Value Change Dump »)
|
Versions of package gtkwave |
Release | Version | Architectures |
bullseye | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 3.3.98-1 | amd64,arm64,armhf,i386 |
bookworm | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
jessie | 3.3.62-1 | amd64,armel,armhf,i386 |
bullseye-security | 3.3.104+really3.3.118-0+deb11u1 | amd64,arm64,armhf,i386 |
stretch | 3.3.79-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
bookworm-security | 3.3.118-0.1~deb12u1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 3.3.121-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
buster-security | 3.3.98+really3.3.118-0+deb10u1 | amd64,arm64,armhf,i386 |
Debtags of package gtkwave: |
field | electronics |
hardware | emulation |
interface | x11 |
role | program |
scope | utility |
uitoolkit | gtk |
use | learning, viewing |
x11 | application |
|
License: DFSG free
|
Gtkwave est un afficheur de fichiers VCD (« Value Change Dump ») qui sont
en général créés par des simulateurs de circuit numérique. (Ces fichiers
n'ont aucun rapport avec les CD vidéo !)
|
|
gwave
afficheur de signaux, par exemple pour les simulateurs SPICE
|
Versions of package gwave |
Release | Version | Architectures |
stretch | 20090213-6.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
bullseye | 20190116-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
Debtags of package gwave: |
field | electronics |
interface | text-mode, x11 |
role | program |
scope | application |
uitoolkit | gtk, ncurses |
use | viewing |
x11 | application |
|
License: DFSG free
|
Gwave est un outil pour visualiser des données analogiques telles que la
sortie de simulations de SPICE.
Gwave peut lire les fichiers « bruts » de spice2G6, spice3F5 ou ngspice, et
le format tabulaire en ASCII adapté pour GnuCAP ou des outils maison. Il
peut aussi lire plusieurs fichiers binaires ou en ASCII écrits par des
simulateurs commerciaux de type SPICE tels que hspice, tspice et nanosim.
Il prend en charge plusieurs « tableaux » (graticules) avec plusieurs
variables affichées dans chaque. Deux barres de curseur verticales sont
disponibles pour des mesures de différence de temps. Plusieurs fichiers
peuvent être chargés pour comparer les résultats de plusieurs simulations.
|
|
iverilog
compilateur Verilog Icarus
|
Versions of package iverilog |
Release | Version | Architectures |
jessie | 0.9.7-1 | amd64,armel,armhf,i386 |
trixie | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 11.0-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 11.0-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 10.2-1.1 | amd64,arm64,armhf,i386 |
stretch | 10.1-0.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
sid | 12.0-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
Debtags of package iverilog: |
field | electronics |
interface | commandline |
role | program |
|
License: DFSG free
|
Icarus Verilog est prévu pour compiler tout le code Verilog HDL, tel qu’il
est décrit dans le standard IEEE-1364. Ce n’est pas encore entièrement
réalisé. Actuellement, il gère un mélange de constructions structurelles et
comportementales.
Le compilateur peut viser soit une simulation, soit une liste de liens
(netlist – EDIF).
|
|
klayout
visualisateur haute performance de couches et éditeur
|
Versions of package klayout |
Release | Version | Architectures |
bookworm | 0.28.5-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.26.2-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 0.29.1-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 0.29.1-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
upstream | 0.29.11 |
|
License: DFSG free
|
Il s’agit d’un très bon visualisateur pour GDSII et les fichiers de couche utilisés dans l’industrie des semiconducteurs.
Il est similaire à « magic », mais possède une interface graphique beaucoup plus moderne et est plus robuste dans la prise en charge de toutes sortes de fichiers GDSII créés avec divers autres outils. Son but est plus dans l’affichage que dans l’édition, mais il a aussi une prise en charge limitée, mais croissante, pour DRC et de l’extraction pour LVS.
|
|
magic
outil de mise en place à grande échelle (VLSI)
|
Versions of package magic |
Release | Version | Architectures |
bullseye | 8.3.105+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 8.1.218+ds.1-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch | 8.0.210-2 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 7.5.241-1 | amd64,armel,armhf,i386 |
bookworm | 8.3.105+ds.1-1.1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 8.3.105+ds.1-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
buster | 8.1.223+ds.1-1 | amd64,arm64,armhf,i386 |
upstream | 8.3.515 |
Debtags of package magic: |
field | electronics |
role | program |
|
License: DFSG free
|
Magic est un outil vénérable d’intégration à très grande échelle (VLSI),
écrit dans les années 80 à Berkeley par John Ousterhout, actuellement
célèbre principalement pour son écriture du langage Tcl d’interpréteur de
script. Dû en grande partie à la licence libérale de code source ouvert de
Berkeley, magic est toujours resté populaire dans les universités et les
petites entreprises. La licence de code source ouvert a permis aux
ingénieurs VLSI enclins à la programmation de mettre en œuvre des idées
astucieuses et d’aider magic à rester au fait des technologies de
fabrication. Cependant, ce sont des algorithmes centraux bien pensés qui
confèrent à magic la plus grande part de sa popularité. Magic est largement
reporté comme étant l’outil le plus facile pour réaliser des circuits
imprimés, même pour ceux qui en définitive dépendent d’outils commerciaux
pour le processus de création de produits.
|
|
netgen-lvs
Netlist comparison - Layout vs Schematic (LVS)
|
Versions of package netgen-lvs |
Release | Version | Architectures |
sid | 1.5.133-1.2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
buster | 1.5.118-1 | amd64,arm64,armhf,i386 |
bullseye | 1.5.133-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 1.5.133-1.2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 1.5.133-1.2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
upstream | 1.5.291 |
|
License: DFSG free
|
Netgen is a tool for comparing netlists, a process known as LVS, which stands
for "Layout vs. Schematic". This is an important step in the integrated circuit
design flow, ensuring that the geometry that has been laid out matches the
expected circuit.
Very small circuits can bypass this step by confirming circuit operation
through extraction and simulation. Very large digital circuits are usually
generated by tools from high-level descriptions, using compilers that ensure
the correct layout geometry. The greatest need for LVS is in large analog or
mixed-signal circuits that cannot be simulated in reasonable time.
Note that the executable name in Debian is 'netgen-lvs'. For details, see
/usr/share/doc/netgen-lvs/README.Debian
|
|
opensta
Gate-level Static Timing Analyzer
|
Versions of package opensta |
Release | Version | Architectures |
trixie | 0~20191111gitc018cb2+dfsg-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
sid | 0~20191111gitc018cb2+dfsg-1.1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bullseye | 0~20191111gitc018cb2+dfsg-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 0~20191111gitc018cb2+dfsg-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
upstream | 0.0~git20250102.dfbce66 |
|
License: DFSG free
|
After synthesis, place and route of a digital circuit, it is necessary to
verify the timing of the design. OpenSTA is a tool for doing exactly that. It
has a Tcl interface for entering commands for analysing designs.
It typically takes as input a verilog netlist, a liberty file, and other
parasitics information from the placed and routed design.
|
|
qrouter
Multi-level, over-the-cell maze router
|
Versions of package qrouter |
Release | Version | Architectures |
buster | 1.3.108-1 | amd64,arm64,armhf,i386 |
sid | 1.4.71-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 1.4.71-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 1.4.71-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
stretch-backports | 1.3.106-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch | 1.3.57-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
jessie | 1.3.3-1 | amd64,armel,armhf,i386 |
upstream | 1.4.88 |
|
License: DFSG free
|
Qrouter is a tool to generate metal layers and vias to physically connect
together a netlist in a VLSI fabrication technology. It is a maze router,
otherwise known as an "over-the-cell" router or "sea-of-gates" router. That
is, unlike a channel router, it begins with a description of placed standard
cells, usually packed together at minimum spacing, and places metal routes
over the standard cells.
Qrouter uses the open standard LEF and DEF formats as file input and output.
It takes the cell definitions from a LEF file, and analyzes the geometry for
each cell to determine contact points and route obstructions. It then reads
the cell placement, pin placement, and netlist from a DEF file, performs the
detailed route, and writes an annotated DEF file as output.
|
|
verilator
fast free Verilog simulator
|
Versions of package verilator |
Release | Version | Architectures |
jessie | 3.864-1 | amd64,armel,armhf,i386 |
bullseye | 4.038-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 4.010-1 | amd64,arm64,armhf,i386 |
bookworm | 5.006-3 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
sid | 5.032-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 5.032-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
stretch | 3.900-1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
Debtags of package verilator: |
field | electronics |
interface | commandline |
role | program |
use | simulating |
|
License: DFSG free
|
Verilator is the fastest free Verilog HDL simulator, and beats many commercial
simulators. It compiles synthesizable Verilog (not test-bench code!), plus
some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code.
It is designed for large projects where fast simulation performance is of
primary concern, and is especially well suited to generate executable models
of CPUs for embedded software design teams.
|
|
yosys
Framework for Verilog RTL synthesis
|
Versions of package yosys |
Release | Version | Architectures |
bullseye | 0.9-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster-backports | 0.9-1~bpo10+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 0.8-1 | amd64,arm64,armhf,i386 |
stretch-backports | 0.8-1~bpo9+1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
stretch | 0.7-2+deb9u1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
sid | 0.33-6 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64 |
experimental | 0.33-6~exp3 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64 |
bookworm | 0.23-6 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el |
upstream | 0.44 |
|
License: DFSG free
|
This is a framework for Verilog RTL synthesis. It currently has extensive
Verilog-2005 support and provides a basic set of synthesis algorithms for
various application domains.
Yosys can be adapted to perform any synthesis job by combining the existing
passes (algorithms) using synthesis scripts and adding additional passes as
needed by extending the yosys C++ code base.
|
|
Official Debian packages with lower relevance
alliance
|
Versions of package alliance |
Release | Version | Architectures |
stretch | 5.1.1-1.1 | amd64,arm64,armel,armhf,i386,mips,mips64el,mipsel,ppc64el,s390x |
buster | 5.1.1-3 | amd64,arm64,armhf,i386 |
jessie | 5.0-20120515-6 | amd64,armel,armhf,i386 |
Debtags of package alliance: |
field | electronics |
role | program |
|
License: DFSG free
|
Alliance est un ensemble complet d'outils libre de CAD et de bibliothèques
portables pour la conception VLSI. Il inclut un compilateur et simulateur
VHDL, des outils de synthèse logique et des outils de place et route
automatiques.
Un ensemble complet de bibliothèques CMOS portables est fourni, avec un
générateur de RAM, un générateur de ROM ainsi qu'un compilateur data-path.
Alliance est le résultat de plus de 10 ans d'efforts du département ASIM du
laboratoire LIP6, université de Pierre et Marie-Curie (Paris VI, France).
Alliance a été utilisé par des projets de recherche comme les transistors
875 000, le microprocesseur superscalaire StaCS et les transistors 400 000
des routeurs HSL Gigabit IEEE.
Alliance fournit les outils CAD qui couvrent à peu près tous les schémas
de conception numérique :
- compilation et simulation VHDL ;
- vérificateur de modèle et preuves formelles ;
- RTL et synthèse logique ;
- compilation data-path ;
- génération de macro-cellule ;
- place et route ;
- édition par couche ;
- extraction et vérification de Netlist ;
- règles de conception et vérification.
|
|
gdsiiconvert
conversion de géométries GDSII et rapport de statistiques de géométries
|
Versions of package gdsiiconvert |
Release | Version | Architectures |
sid | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bookworm | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
trixie | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
bullseye | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.1+ds.1-1 | amd64,arm64,armhf,i386 |
|
License: DFSG free
|
Cet outil en ligne de commande peut être utilisé pour le rapport de
statistiques de géométries au format GDSII et les exporter dans d’autres
formats, notamment celui de géométrie GMSH.
C’est un « exemple d’utilisation » pour libGDSII, qui est une bibliothèque
C++, pour travailler avec les fichiers binaires de données au format
GDSII.
Ce paquet fournit aussi des exemples de fichiers GDSII.
|
|
libgdsii-dev
Library for GDSII handling (development files)
|
Versions of package libgdsii-dev |
Release | Version | Architectures |
bookworm | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bullseye | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 0.1+ds.1-1 | amd64,arm64,armhf,i386 |
sid | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 0.2+ds.1-1 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
|
License: DFSG free
|
This is a C++ library for working with GDSII binary data files, intended
primarily for use with the computational electromagnetism codes scuff-em and
meep but sufficiently general-purpose to allow other uses as well.
This package contains the development files for the library.
|
|
python3-gdspy
Python library for GDSII handling (Python 3)
|
Versions of package python3-gdspy |
Release | Version | Architectures |
bullseye | 1.4.2-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
bookworm | 1.4.2-2 | amd64,arm64,armel,armhf,i386,mips64el,mipsel,ppc64el,s390x |
buster | 1.3.1-3 | amd64,arm64,armhf,i386 |
sid | 1.4.2-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
trixie | 1.4.2-2 | amd64,arm64,armel,armhf,i386,mips64el,ppc64el,riscv64,s390x |
upstream | 1.6.13 |
|
License: DFSG free
|
Gdspy is a Python module for creating/importing/merging GDSII stream files. It
includes key libraries for creating complex CAD layouts.
Features:
- Boolean operations on polygons (AND, OR, NOT, XOR) based on clipping
algorithm
- Polygon offset (inward and outward rescaling of polygons)
- Efficient point-in-polygon solutions for large array sets
This package installs the library for Python 3.
|
|
Packaging has started and developers might try the packaging code in VCS
coriolis
Open-Source Digital Synthesis Flow
|
Versions of package coriolis |
Release | Version | Architectures |
VCS | 2.0.1+20190311git435b647-1 | all |
|
License: GPL-3+
Debian package not available
Version: 2.0.1+20190311git435b647-1
|
Coriolis is a set of tools for VLSI backend flow.
It's main features are:
- An analytic placer Etesian (based on Coloquinte).
- A router Katana for digital designs. An extension toward mixed design is
currently under development.
- Python fast prototyping capabilities and layout procedural description.
|
|